18537b88aSTang Haojin/*************************************************************************************** 28537b88aSTang Haojin* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC) 38537b88aSTang Haojin* Copyright (c) 2024 Institute of Computing Technology, Chinese Academy of Sciences 48537b88aSTang Haojin* 58537b88aSTang Haojin* XiangShan is licensed under Mulan PSL v2. 68537b88aSTang Haojin* You can use this software according to the terms and conditions of the Mulan PSL v2. 78537b88aSTang Haojin* You may obtain a copy of Mulan PSL v2 at: 88537b88aSTang Haojin* http://license.coscl.org.cn/MulanPSL2 98537b88aSTang Haojin* 108537b88aSTang Haojin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 118537b88aSTang Haojin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 128537b88aSTang Haojin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 138537b88aSTang Haojin* 148537b88aSTang Haojin* See the Mulan PSL v2 for more details. 158537b88aSTang Haojin***************************************************************************************/ 168537b88aSTang Haojin 178537b88aSTang Haojinpackage xiangshan 188537b88aSTang Haojin 198537b88aSTang Haojinimport chisel3._ 208537b88aSTang Haojinimport chisel3.util._ 218537b88aSTang Haojinimport org.chipsalliance.cde.config._ 228537b88aSTang Haojinimport freechips.rocketchip.diplomacy._ 238537b88aSTang Haojinimport freechips.rocketchip.interrupts._ 248537b88aSTang Haojinimport freechips.rocketchip.util._ 258537b88aSTang Haojinimport system.HasSoCParameter 268537b88aSTang Haojinimport device.{IMSICAsync, MsiInfoBundle} 278537b88aSTang Haojinimport coupledL2.tl2chi.{PortIO, AsyncPortIO, CHIAsyncBridgeSource} 288537b88aSTang Haojinimport utility.IntBuffer 298537b88aSTang Haojin 308537b88aSTang Haojin// This module is used for XSNoCTop for async time domain and divide different 318537b88aSTang Haojin// voltage domain. Everything in this module should be in the core clock domain 328537b88aSTang Haojin// and higher voltage domain. 338537b88aSTang Haojinclass XSTileWrap()(implicit p: Parameters) extends LazyModule 348537b88aSTang Haojin with HasXSParameter 358537b88aSTang Haojin with HasSoCParameter 368537b88aSTang Haojin{ 378537b88aSTang Haojin override def shouldBeInlined: Boolean = false 388537b88aSTang Haojin 398537b88aSTang Haojin val tile = LazyModule(new XSTile()) 408537b88aSTang Haojin 418537b88aSTang Haojin // interrupts sync 428537b88aSTang Haojin val clintIntNode = IntIdentityNode() 438537b88aSTang Haojin val debugIntNode = IntIdentityNode() 448537b88aSTang Haojin val plicIntNode = IntIdentityNode() 458537b88aSTang Haojin tile.clint_int_node := IntBuffer(2) := clintIntNode 468537b88aSTang Haojin tile.debug_int_node := IntBuffer(2) := debugIntNode 478537b88aSTang Haojin tile.plic_int_node :*= IntBuffer(2) :*= plicIntNode 488537b88aSTang Haojin class XSTileWrapImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) { 498537b88aSTang Haojin val io = IO(new Bundle { 508537b88aSTang Haojin val hartId = Input(UInt(hartIdLen.W)) 518537b88aSTang Haojin val msiInfo = Input(ValidIO(new MsiInfoBundle)) 528537b88aSTang Haojin val reset_vector = Input(UInt(PAddrBits.W)) 538537b88aSTang Haojin val cpu_halt = Output(Bool()) 548537b88aSTang Haojin val debugTopDown = new Bundle { 558537b88aSTang Haojin val robHeadPaddr = Valid(UInt(PAddrBits.W)) 568537b88aSTang Haojin val l3MissMatch = Input(Bool()) 578537b88aSTang Haojin } 58*e2725c9eSzhanglinjuan val chi = EnableCHIAsyncBridge match { 59*e2725c9eSzhanglinjuan case Some(param) => Some(new AsyncPortIO(param)) 60*e2725c9eSzhanglinjuan case None => Some(new PortIO) 61*e2725c9eSzhanglinjuan } 628537b88aSTang Haojin val nodeID = if (enableCHI) Some(Input(UInt(NodeIDWidth.W))) else None 63*e2725c9eSzhanglinjuan val clintTime = EnableClintAsyncBridge match { 64*e2725c9eSzhanglinjuan case Some(param) => Some(Flipped(new AsyncBundle(UInt(64.W), param))) 65*e2725c9eSzhanglinjuan case None => Some(Input(ValidIO(UInt(64.W)))) 66*e2725c9eSzhanglinjuan } 678537b88aSTang Haojin }) 688537b88aSTang Haojin 698537b88aSTang Haojin val imsicAsync = Module(new IMSICAsync()) 708537b88aSTang Haojin imsicAsync.i.msiInfo := io.msiInfo 718537b88aSTang Haojin 728537b88aSTang Haojin tile.module.io.hartId := io.hartId 738537b88aSTang Haojin tile.module.io.msiInfo := imsicAsync.o.msiInfo 748537b88aSTang Haojin tile.module.io.reset_vector := io.reset_vector 758537b88aSTang Haojin io.cpu_halt := tile.module.io.cpu_halt 768537b88aSTang Haojin io.debugTopDown <> tile.module.io.debugTopDown 778537b88aSTang Haojin tile.module.io.nodeID.foreach(_ := io.nodeID.get) 788537b88aSTang Haojin 798537b88aSTang Haojin // CLINT Async Queue Sink 80*e2725c9eSzhanglinjuan EnableClintAsyncBridge match { 81*e2725c9eSzhanglinjuan case Some(param) => 82*e2725c9eSzhanglinjuan val sink = Module(new AsyncQueueSink(UInt(64.W), param)) 83*e2725c9eSzhanglinjuan sink.io.async <> io.clintTime.get 84*e2725c9eSzhanglinjuan sink.io.deq.ready := true.B 85*e2725c9eSzhanglinjuan tile.module.io.clintTime.valid := sink.io.deq.valid 86*e2725c9eSzhanglinjuan tile.module.io.clintTime.bits := sink.io.deq.bits 87*e2725c9eSzhanglinjuan case None => 88*e2725c9eSzhanglinjuan tile.module.io.clintTime := io.clintTime.get 89*e2725c9eSzhanglinjuan } 908537b88aSTang Haojin 918537b88aSTang Haojin // CHI Async Queue Source 92*e2725c9eSzhanglinjuan EnableCHIAsyncBridge match { 93*e2725c9eSzhanglinjuan case Some(param) => 94*e2725c9eSzhanglinjuan val source = Module(new CHIAsyncBridgeSource(param)) 95*e2725c9eSzhanglinjuan source.io.enq <> tile.module.io.chi.get 96*e2725c9eSzhanglinjuan io.chi.get <> source.io.async 97*e2725c9eSzhanglinjuan case None => 98*e2725c9eSzhanglinjuan require(enableCHI) 99*e2725c9eSzhanglinjuan io.chi.get <> tile.module.io.chi.get 1008537b88aSTang Haojin } 1018537b88aSTang Haojin 1028537b88aSTang Haojin dontTouch(io.hartId) 1038537b88aSTang Haojin dontTouch(io.msiInfo) 1048537b88aSTang Haojin } 1058537b88aSTang Haojin lazy val module = new XSTileWrapImp(this) 1068537b88aSTang Haojin} 107