1d2b20d1aSTang Haojin/*************************************************************************************** 2d2b20d1aSTang Haojin* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3d2b20d1aSTang Haojin* Copyright (c) 2020-2021 Peng Cheng Laboratory 4d2b20d1aSTang Haojin* 5d2b20d1aSTang Haojin* XiangShan is licensed under Mulan PSL v2. 6d2b20d1aSTang Haojin* You can use this software according to the terms and conditions of the Mulan PSL v2. 7d2b20d1aSTang Haojin* You may obtain a copy of Mulan PSL v2 at: 8d2b20d1aSTang Haojin* http://license.coscl.org.cn/MulanPSL2 9d2b20d1aSTang Haojin* 10d2b20d1aSTang Haojin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11d2b20d1aSTang Haojin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12d2b20d1aSTang Haojin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13d2b20d1aSTang Haojin* 14d2b20d1aSTang Haojin* See the Mulan PSL v2 for more details. 15d2b20d1aSTang Haojin***************************************************************************************/ 16d2b20d1aSTang Haojin 1773be64b3SJiawei Linpackage xiangshan 1873be64b3SJiawei Lin 198891a219SYinan Xuimport org.chipsalliance.cde.config.{Config, Parameters} 203b739f49SXuan Huimport chisel3._ 2173be64b3SJiawei Linimport chisel3.util.{Valid, ValidIO} 224a2390a4SJiawei Linimport freechips.rocketchip.diplomacy._ 234a2390a4SJiawei Linimport freechips.rocketchip.interrupts._ 2473be64b3SJiawei Linimport freechips.rocketchip.tile.{BusErrorUnit, BusErrorUnitParams, BusErrors} 254a2390a4SJiawei Linimport freechips.rocketchip.tilelink._ 264b40434cSzhanglinjuanimport freechips.rocketchip.amba.axi4._ 2773be64b3SJiawei Linimport system.HasSoCParameter 284b40434cSzhanglinjuanimport top.{BusPerfMonitor, ArgParser, Generator} 294b40434cSzhanglinjuanimport utility.{DelayN, ResetGen, TLClientsMerger, TLEdgeBuffer, TLLogger, Constantin, ChiselDB, FileRegisters} 304b40434cSzhanglinjuanimport coupledL2.EnableCHI 314b40434cSzhanglinjuanimport coupledL2.tl2chi.PortIO 3273be64b3SJiawei Lin 3373be64b3SJiawei Linclass XSTile()(implicit p: Parameters) extends LazyModule 3473be64b3SJiawei Lin with HasXSParameter 3573be64b3SJiawei Lin with HasSoCParameter 3673be64b3SJiawei Lin{ 3795e60e55STang Haojin override def shouldBeInlined: Boolean = false 3871489510SXuan Hu val core = LazyModule(new XSCore()) 3971489510SXuan Hu val l2top = LazyModule(new L2Top()) 4073be64b3SJiawei Lin 414b40434cSzhanglinjuan val enableL2 = coreParams.L2CacheParamsOpt.isDefined 424e12f40bSzhanglinjuan // =========== Public Ports ============ 430d32f713Shappy-lx val core_l3_pf_port = core.memBlock.l3_pf_sender_opt 444b40434cSzhanglinjuan val memory_port = if (enableCHI && enableL2) None else Some(l2top.memory_port.get) 454b40434cSzhanglinjuan val tl_uncache = l2top.mmio_port 464b40434cSzhanglinjuan // val axi4_uncache = if (enableCHI) Some(AXI4UserYanker()) else None 474e12f40bSzhanglinjuan val beu_int_source = l2top.beu.intNode 488a167be7SHaojin Tang val core_reset_sink = BundleBridgeSink(Some(() => Reset())) 494e12f40bSzhanglinjuan val clint_int_node = l2top.clint_int_node 504e12f40bSzhanglinjuan val plic_int_node = l2top.plic_int_node 514e12f40bSzhanglinjuan val debug_int_node = l2top.debug_int_node 524e12f40bSzhanglinjuan core.memBlock.clint_int_sink := clint_int_node 534e12f40bSzhanglinjuan core.memBlock.plic_int_sink :*= plic_int_node 544e12f40bSzhanglinjuan core.memBlock.debug_int_sink := debug_int_node 5573be64b3SJiawei Lin 564e12f40bSzhanglinjuan // =========== Components' Connection ============ 57c20095f4SChen Xi // L1 to l1_xbar 584e12f40bSzhanglinjuan coreParams.dcacheParametersOpt.map { _ => 59c20095f4SChen Xi l2top.misc_l2_pmu := l2top.l1d_logger := core.memBlock.dcache_port := 60c20095f4SChen Xi core.memBlock.l1d_to_l2_buffer.node := core.memBlock.dcache.clientNode 6173be64b3SJiawei Lin } 6225cb35b6SJiawei Lin 6363cac807SChen Xi l2top.misc_l2_pmu := l2top.l1i_logger := core.memBlock.frontendBridge.icache_node 64a1c09046Ssfencevma if (!coreParams.softPTW) { 65c20095f4SChen Xi l2top.misc_l2_pmu := l2top.ptw_logger := l2top.ptw_to_l2_buffer.node := core.memBlock.ptw_to_l2_buffer.node 66a1c09046Ssfencevma } 6725cb35b6SJiawei Lin 680e280184Szhanglinjuan // L2 Prefetch 690e280184Szhanglinjuan l2top.l2cache match { 7073be64b3SJiawei Lin case Some(l2) => 710e280184Szhanglinjuan l2.pf_recv_node.foreach(recv => { 72c65495a4SLinJiawei println("Connecting L1 prefetcher to L2!") 730d32f713Shappy-lx recv := core.memBlock.l2_pf_sender_opt.get 74c65495a4SLinJiawei }) 7573be64b3SJiawei Lin case None => 7673be64b3SJiawei Lin } 7773be64b3SJiawei Lin 780e280184Szhanglinjuan val core_l3_tpmeta_source_port = l2top.l2cache match { 799672f0b7Swakafa case Some(l2) => l2.tpmeta_source_node 809672f0b7Swakafa case None => None 819672f0b7Swakafa } 820e280184Szhanglinjuan val core_l3_tpmeta_sink_port = l2top.l2cache match { 839672f0b7Swakafa case Some(l2) => l2.tpmeta_sink_node 849672f0b7Swakafa case None => None 859672f0b7Swakafa } 8673be64b3SJiawei Lin 874e12f40bSzhanglinjuan // mmio 88c20095f4SChen Xi l2top.i_mmio_port := l2top.i_mmio_buffer.node := core.memBlock.frontendBridge.instr_uncache_node 894e12f40bSzhanglinjuan l2top.d_mmio_port := core.memBlock.uncache.clientNode 9073be64b3SJiawei Lin 914e12f40bSzhanglinjuan // =========== IO Connection ============ 92935edac4STang Haojin class XSTileImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) { 9373be64b3SJiawei Lin val io = IO(new Bundle { 94f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 95c4b44470SGuokai Chen val reset_vector = Input(UInt(PAddrBits.W)) 96b6900d94SYinan Xu val cpu_halt = Output(Bool()) 9760ebee38STang Haojin val debugTopDown = new Bundle { 9860ebee38STang Haojin val robHeadPaddr = Valid(UInt(PAddrBits.W)) 9960ebee38STang Haojin val l3MissMatch = Input(Bool()) 10060ebee38STang Haojin } 1014b40434cSzhanglinjuan val chi = if (enableCHI) Some(new PortIO) else None 1024b40434cSzhanglinjuan val nodeID = if (enableCHI) Some(Input(UInt(NodeIDWidth.W))) else None 10373be64b3SJiawei Lin }) 10473be64b3SJiawei Lin 1055668a921SJiawei Lin dontTouch(io.hartId) 106*78a8cd25Szhanglinjuan if (!io.chi.isEmpty) { dontTouch(io.chi.get) } 1075668a921SJiawei Lin 1084e12f40bSzhanglinjuan val core_soft_rst = core_reset_sink.in.head._1 // unused 10934ab1ae9SJiawei Lin 1104e12f40bSzhanglinjuan l2top.module.hartId.fromTile := io.hartId 1114e12f40bSzhanglinjuan core.module.io.hartId := l2top.module.hartId.toCore 1124e12f40bSzhanglinjuan core.module.io.reset_vector := l2top.module.reset_vector.toCore 1134e12f40bSzhanglinjuan l2top.module.reset_vector.fromTile := io.reset_vector 1144e12f40bSzhanglinjuan l2top.module.cpu_halt.fromCore := core.module.io.cpu_halt 1154e12f40bSzhanglinjuan io.cpu_halt := l2top.module.cpu_halt.toTile 1164e12f40bSzhanglinjuan 117935edac4STang Haojin core.module.io.perfEvents <> DontCare 11873be64b3SJiawei Lin 1194e12f40bSzhanglinjuan l2top.module.beu_errors.icache <> core.module.io.beu_errors.icache 1204e12f40bSzhanglinjuan l2top.module.beu_errors.dcache <> core.module.io.beu_errors.dcache 1214b40434cSzhanglinjuan if (enableL2) { 12215ee59e4Swakafa // TODO: add ECC interface of L2 123d2945707SHuijin Li 1244e12f40bSzhanglinjuan l2top.module.beu_errors.l2 <> 0.U.asTypeOf(l2top.module.beu_errors.l2) 125d2945707SHuijin Li core.module.io.l2_hint.bits.sourceId := l2top.module.l2_hint.bits.sourceId 126d2945707SHuijin Li core.module.io.l2_hint.bits.isKeyword := l2top.module.l2_hint.bits.isKeyword 1274e12f40bSzhanglinjuan core.module.io.l2_hint.valid := l2top.module.l2_hint.valid 128d2945707SHuijin Li 1290d32f713Shappy-lx core.module.io.l2PfqBusy := false.B 1304e12f40bSzhanglinjuan core.module.io.debugTopDown.l2MissMatch := l2top.module.debugTopDown.l2MissMatch 1314e12f40bSzhanglinjuan l2top.module.debugTopDown.robHeadPaddr := core.module.io.debugTopDown.robHeadPaddr 132aee6a6d1SYanqin Li l2top.module.debugTopDown.robTrueCommit := core.module.io.debugTopDown.robTrueCommit 133aee6a6d1SYanqin Li core.module.io.l2_tlb_req <> l2top.module.l2_tlb_req 13438005240SJiawei Lin } else { 135d2945707SHuijin Li 1364e12f40bSzhanglinjuan l2top.module.beu_errors.l2 <> 0.U.asTypeOf(l2top.module.beu_errors.l2) 137d2945707SHuijin Li core.module.io.l2_hint.bits.sourceId := l2top.module.l2_hint.bits.sourceId 138d2945707SHuijin Li core.module.io.l2_hint.bits.isKeyword := l2top.module.l2_hint.bits.isKeyword 1394e12f40bSzhanglinjuan core.module.io.l2_hint.valid := l2top.module.l2_hint.valid 140d2945707SHuijin Li 1410d32f713Shappy-lx core.module.io.l2PfqBusy := false.B 14260ebee38STang Haojin core.module.io.debugTopDown.l2MissMatch := false.B 143aee6a6d1SYanqin Li 144aee6a6d1SYanqin Li core.module.io.l2_tlb_req.req.valid := false.B 145aee6a6d1SYanqin Li core.module.io.l2_tlb_req.req.bits := DontCare 146aee6a6d1SYanqin Li core.module.io.l2_tlb_req.req_kill := DontCare 147aee6a6d1SYanqin Li core.module.io.l2_tlb_req.resp.ready := true.B 14838005240SJiawei Lin } 14973be64b3SJiawei Lin 15060ebee38STang Haojin io.debugTopDown.robHeadPaddr := core.module.io.debugTopDown.robHeadPaddr 15160ebee38STang Haojin core.module.io.debugTopDown.l3MissMatch := io.debugTopDown.l3MissMatch 15260ebee38STang Haojin 1534b40434cSzhanglinjuan io.chi.foreach(_ <> l2top.module.chi.get) 1544b40434cSzhanglinjuan l2top.module.nodeID.foreach(_ := io.nodeID.get) 1554b40434cSzhanglinjuan 15677bc15a2SYinan Xu // Modules are reset one by one 15777bc15a2SYinan Xu // io_reset ---- 15877bc15a2SYinan Xu // | 15977bc15a2SYinan Xu // v 16077bc15a2SYinan Xu // reset ----> OR_SYNC --> {Misc, L2 Cache, Cores} 1614e12f40bSzhanglinjuan // val resetChain = Seq( 1624e12f40bSzhanglinjuan // Seq(l2top.module, core.module) 1634e12f40bSzhanglinjuan // ) 1644e12f40bSzhanglinjuan // ResetGen(resetChain, reset, !debugOpts.FPGAPlatform) 16573be64b3SJiawei Lin } 166935edac4STang Haojin 167935edac4STang Haojin lazy val module = new XSTileImp(this) 16873be64b3SJiawei Lin} 169