1d2b20d1aSTang Haojin/*************************************************************************************** 2d2b20d1aSTang Haojin* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3d2b20d1aSTang Haojin* Copyright (c) 2020-2021 Peng Cheng Laboratory 4d2b20d1aSTang Haojin* 5d2b20d1aSTang Haojin* XiangShan is licensed under Mulan PSL v2. 6d2b20d1aSTang Haojin* You can use this software according to the terms and conditions of the Mulan PSL v2. 7d2b20d1aSTang Haojin* You may obtain a copy of Mulan PSL v2 at: 8d2b20d1aSTang Haojin* http://license.coscl.org.cn/MulanPSL2 9d2b20d1aSTang Haojin* 10d2b20d1aSTang Haojin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11d2b20d1aSTang Haojin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12d2b20d1aSTang Haojin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13d2b20d1aSTang Haojin* 14d2b20d1aSTang Haojin* See the Mulan PSL v2 for more details. 15d2b20d1aSTang Haojin***************************************************************************************/ 16d2b20d1aSTang Haojin 1773be64b3SJiawei Linpackage xiangshan 1873be64b3SJiawei Lin 1973be64b3SJiawei Linimport chisel3._ 2073be64b3SJiawei Linimport chipsalliance.rocketchip.config.{Config, Parameters} 2173be64b3SJiawei Linimport chisel3.util.{Valid, ValidIO} 224a2390a4SJiawei Linimport freechips.rocketchip.diplomacy._ 234a2390a4SJiawei Linimport freechips.rocketchip.interrupts._ 2473be64b3SJiawei Linimport freechips.rocketchip.tile.{BusErrorUnit, BusErrorUnitParams, BusErrors} 254a2390a4SJiawei Linimport freechips.rocketchip.tilelink._ 2615ee59e4Swakafaimport coupledL2.{L2ParamKey, CoupledL2} 2773be64b3SJiawei Linimport system.HasSoCParameter 2873be64b3SJiawei Linimport top.BusPerfMonitor 2962129679Swakafaimport utility.{DelayN, ResetGen, TLClientsMerger, TLEdgeBuffer, TLLogger} 3073be64b3SJiawei Lin 310f59c834SWilliam Wangclass L1BusErrorUnitInfo(implicit val p: Parameters) extends Bundle with HasSoCParameter { 329ef181f4SWilliam Wang val ecc_error = Valid(UInt(soc.PAddrBits.W)) 3373be64b3SJiawei Lin} 3473be64b3SJiawei Lin 3573be64b3SJiawei Linclass XSL1BusErrors()(implicit val p: Parameters) extends BusErrors { 360f59c834SWilliam Wang val icache = new L1BusErrorUnitInfo 370f59c834SWilliam Wang val dcache = new L1BusErrorUnitInfo 3838005240SJiawei Lin val l2 = new L1BusErrorUnitInfo 3973be64b3SJiawei Lin 4073be64b3SJiawei Lin override def toErrorList: List[Option[(ValidIO[UInt], String, String)]] = 4173be64b3SJiawei Lin List( 4238005240SJiawei Lin Some(icache.ecc_error, "I_ECC", "Icache ecc error"), 4338005240SJiawei Lin Some(dcache.ecc_error, "D_ECC", "Dcache ecc error"), 4438005240SJiawei Lin Some(l2.ecc_error, "L2_ECC", "L2Cache ecc error") 4573be64b3SJiawei Lin ) 4673be64b3SJiawei Lin} 4773be64b3SJiawei Lin 4873be64b3SJiawei Lin/** 4973be64b3SJiawei Lin * XSTileMisc contains every module except Core and L2 Cache 5073be64b3SJiawei Lin */ 5173be64b3SJiawei Linclass XSTileMisc()(implicit p: Parameters) extends LazyModule 5273be64b3SJiawei Lin with HasXSParameter 5373be64b3SJiawei Lin with HasSoCParameter 5473be64b3SJiawei Lin{ 5573be64b3SJiawei Lin val l1_xbar = TLXbar() 5673be64b3SJiawei Lin val mmio_xbar = TLXbar() 57be340b14SJiawei Lin val mmio_port = TLIdentityNode() // to L3 5873be64b3SJiawei Lin val memory_port = TLIdentityNode() 5973be64b3SJiawei Lin val beu = LazyModule(new BusErrorUnit( 60361e6d51SJiuyang Liu new XSL1BusErrors(), BusErrorUnitParams(0x38010000) 6173be64b3SJiawei Lin )) 62d2b20d1aSTang Haojin val misc_l2_pmu = BusPerfMonitor(name = "Misc_L2", enable = !debugOpts.FPGAPlatform) 63d2b20d1aSTang Haojin val l2_l3_pmu = BusPerfMonitor(name = "L2_L3", enable = !debugOpts.FPGAPlatform, stat_latency = true) 6462129679Swakafa val l1d_logger = TLLogger(s"L2_L1D_${coreParams.HartId}", !debugOpts.FPGAPlatform && debugOpts.AlwaysBasicDB) 6573be64b3SJiawei Lin val l2_binder = coreParams.L2CacheParamsOpt.map(_ => BankBinder(coreParams.L2NBanks, 64)) 6673be64b3SJiawei Lin 6773be64b3SJiawei Lin val i_mmio_port = TLTempNode() 6873be64b3SJiawei Lin val d_mmio_port = TLTempNode() 6973be64b3SJiawei Lin 70d2b20d1aSTang Haojin misc_l2_pmu := l1d_logger 71d2b20d1aSTang Haojin l1_xbar :=* misc_l2_pmu 7273be64b3SJiawei Lin 7373be64b3SJiawei Lin l2_binder match { 7473be64b3SJiawei Lin case Some(binder) => 7514dc2851Swakafa memory_port := l2_l3_pmu := TLClientsMerger() := TLXbar() :=* binder 7673be64b3SJiawei Lin case None => 7773be64b3SJiawei Lin memory_port := l1_xbar 7873be64b3SJiawei Lin } 7973be64b3SJiawei Lin 80be340b14SJiawei Lin mmio_xbar := TLBuffer.chainNode(2) := i_mmio_port 81be340b14SJiawei Lin mmio_xbar := TLBuffer.chainNode(2) := d_mmio_port 82be340b14SJiawei Lin beu.node := TLBuffer.chainNode(1) := mmio_xbar 83be340b14SJiawei Lin mmio_port := TLBuffer() := mmio_xbar 8473be64b3SJiawei Lin 8573be64b3SJiawei Lin lazy val module = new LazyModuleImp(this){ 8673be64b3SJiawei Lin val beu_errors = IO(Input(chiselTypeOf(beu.module.io.errors))) 8773be64b3SJiawei Lin beu.module.io.errors <> beu_errors 8873be64b3SJiawei Lin } 8973be64b3SJiawei Lin} 9073be64b3SJiawei Lin 9173be64b3SJiawei Linclass XSTile()(implicit p: Parameters) extends LazyModule 9273be64b3SJiawei Lin with HasXSParameter 9373be64b3SJiawei Lin with HasSoCParameter 9473be64b3SJiawei Lin{ 9573be64b3SJiawei Lin private val core = LazyModule(new XSCore()) 9673be64b3SJiawei Lin private val misc = LazyModule(new XSTileMisc()) 9773be64b3SJiawei Lin private val l2cache = coreParams.L2CacheParamsOpt.map(l2param => 9815ee59e4Swakafa LazyModule(new CoupledL2()(new Config((_, _, _) => { 997b8f8f03SChen Xi case L2ParamKey => l2param.copy( 1007b8f8f03SChen Xi hartIds = Seq(p(XSCoreParamsKey).HartId), 1017b8f8f03SChen Xi FPGAPlatform = debugOpts.FPGAPlatform 1027b8f8f03SChen Xi ) 10373be64b3SJiawei Lin }))) 10473be64b3SJiawei Lin ) 10573be64b3SJiawei Lin 10673be64b3SJiawei Lin // public ports 1070d32f713Shappy-lx val core_l3_pf_port = core.memBlock.l3_pf_sender_opt 10873be64b3SJiawei Lin val memory_port = misc.memory_port 109be340b14SJiawei Lin val uncache = misc.mmio_port 11073be64b3SJiawei Lin val clint_int_sink = core.clint_int_sink 11173be64b3SJiawei Lin val plic_int_sink = core.plic_int_sink 11273be64b3SJiawei Lin val debug_int_sink = core.debug_int_sink 11373be64b3SJiawei Lin val beu_int_source = misc.beu.intNode 1148a167be7SHaojin Tang val core_reset_sink = BundleBridgeSink(Some(() => Reset())) 115d2b20d1aSTang Haojin val l1d_l2_pmu = BusPerfMonitor(name = "L1d_L2", enable = !debugOpts.FPGAPlatform, stat_latency = true) 11673be64b3SJiawei Lin 11725cb35b6SJiawei Lin val l1d_to_l2_bufferOpt = coreParams.dcacheParametersOpt.map { _ => 11825cb35b6SJiawei Lin val buffer = LazyModule(new TLBuffer) 119d2b20d1aSTang Haojin misc.l1d_logger := buffer.node := l1d_l2_pmu := core.memBlock.dcache.clientNode 12025cb35b6SJiawei Lin buffer 12173be64b3SJiawei Lin } 12225cb35b6SJiawei Lin 1234a2390a4SJiawei Lin def chainBuffer(depth: Int, n: String): (Seq[LazyModule], TLNode) = { 1244a2390a4SJiawei Lin val buffers = Seq.fill(depth){ LazyModule(new TLBuffer()) } 1254a2390a4SJiawei Lin buffers.zipWithIndex.foreach{ case (b, i) => { 1264a2390a4SJiawei Lin b.suggestName(s"${n}_${i}") 1274a2390a4SJiawei Lin }} 1284a2390a4SJiawei Lin val node = buffers.map(_.node.asInstanceOf[TLNode]).reduce(_ :*=* _) 1294a2390a4SJiawei Lin (buffers, node) 1304a2390a4SJiawei Lin } 1314a2390a4SJiawei Lin 13262129679Swakafa misc.misc_l2_pmu := TLLogger(s"L2_L1I_${coreParams.HartId}", !debugOpts.FPGAPlatform && debugOpts.AlwaysBasicDB) := core.frontend.icache.clientNode 133a1c09046Ssfencevma if (!coreParams.softPTW) { 1341a718038SHaoyuan Feng misc.misc_l2_pmu := TLLogger(s"L2_PTW_${coreParams.HartId}", !debugOpts.FPGAPlatform && debugOpts.AlwaysBasicDB) := core.memBlock.ptw_to_l2_buffer.node 135a1c09046Ssfencevma } 13625cb35b6SJiawei Lin 13773be64b3SJiawei Lin l2cache match { 13873be64b3SJiawei Lin case Some(l2) => 139a1c09046Ssfencevma misc.l2_binder.get :*= l2.node :*= misc.l1_xbar 140c65495a4SLinJiawei l2.pf_recv_node.map(recv => { 141c65495a4SLinJiawei println("Connecting L1 prefetcher to L2!") 1420d32f713Shappy-lx recv := core.memBlock.l2_pf_sender_opt.get 143c65495a4SLinJiawei }) 14473be64b3SJiawei Lin case None => 14573be64b3SJiawei Lin } 14673be64b3SJiawei Lin 14773be64b3SJiawei Lin misc.i_mmio_port := core.frontend.instrUncache.clientNode 14873be64b3SJiawei Lin misc.d_mmio_port := core.memBlock.uncache.clientNode 14973be64b3SJiawei Lin 15073be64b3SJiawei Lin lazy val module = new LazyModuleImp(this){ 15173be64b3SJiawei Lin val io = IO(new Bundle { 15273be64b3SJiawei Lin val hartId = Input(UInt(64.W)) 153c4b44470SGuokai Chen val reset_vector = Input(UInt(PAddrBits.W)) 154b6900d94SYinan Xu val cpu_halt = Output(Bool()) 155*60ebee38STang Haojin val debugTopDown = new Bundle { 156*60ebee38STang Haojin val robHeadPaddr = Valid(UInt(PAddrBits.W)) 157*60ebee38STang Haojin val l3MissMatch = Input(Bool()) 158*60ebee38STang Haojin } 15973be64b3SJiawei Lin }) 16073be64b3SJiawei Lin 1615668a921SJiawei Lin dontTouch(io.hartId) 1625668a921SJiawei Lin 16334ab1ae9SJiawei Lin val core_soft_rst = core_reset_sink.in.head._1 16434ab1ae9SJiawei Lin 16573be64b3SJiawei Lin core.module.io.hartId := io.hartId 166c4b44470SGuokai Chen core.module.io.reset_vector := DelayN(io.reset_vector, 5) 167b6900d94SYinan Xu io.cpu_halt := core.module.io.cpu_halt 168cd365d4cSrvcoresjw if (l2cache.isDefined) { 16915ee59e4Swakafa // TODO: add perfEvents of L2 17015ee59e4Swakafa // core.module.io.perfEvents.zip(l2cache.get.module.io.perfEvents.flatten).foreach(x => x._1.value := x._2) 171cd365d4cSrvcoresjw } 172cd365d4cSrvcoresjw else { 173cd365d4cSrvcoresjw core.module.io.perfEvents <> DontCare 174cd365d4cSrvcoresjw } 17573be64b3SJiawei Lin 17638005240SJiawei Lin misc.module.beu_errors.icache <> core.module.io.beu_errors.icache 17738005240SJiawei Lin misc.module.beu_errors.dcache <> core.module.io.beu_errors.dcache 17838005240SJiawei Lin if (l2cache.isDefined) { 17915ee59e4Swakafa // TODO: add ECC interface of L2 18015ee59e4Swakafa // misc.module.beu_errors.l2.ecc_error.valid := l2cache.get.module.io.ecc_error.valid 18115ee59e4Swakafa // misc.module.beu_errors.l2.ecc_error.bits := l2cache.get.module.io.ecc_error.bits 18215ee59e4Swakafa misc.module.beu_errors.l2 <> 0.U.asTypeOf(misc.module.beu_errors.l2) 18314a67055Ssfencevma core.module.io.l2_hint.bits.sourceId := l2cache.get.module.io.l2_hint.bits 18414a67055Ssfencevma core.module.io.l2_hint.valid := l2cache.get.module.io.l2_hint.valid 1850d32f713Shappy-lx core.module.io.l2PfqBusy := false.B 186*60ebee38STang Haojin core.module.io.debugTopDown.l2MissMatch := l2cache.get.module.io.debugTopDown.l2MissMatch.head 187*60ebee38STang Haojin l2cache.get.module.io.debugTopDown.robHeadPaddr.head := core.module.io.debugTopDown.robHeadPaddr 18838005240SJiawei Lin } else { 18938005240SJiawei Lin misc.module.beu_errors.l2 <> 0.U.asTypeOf(misc.module.beu_errors.l2) 19014a67055Ssfencevma core.module.io.l2_hint.bits.sourceId := DontCare 19114a67055Ssfencevma core.module.io.l2_hint.valid := false.B 1920d32f713Shappy-lx core.module.io.l2PfqBusy := false.B 193*60ebee38STang Haojin core.module.io.debugTopDown.l2MissMatch := false.B 19438005240SJiawei Lin } 19573be64b3SJiawei Lin 196*60ebee38STang Haojin io.debugTopDown.robHeadPaddr := core.module.io.debugTopDown.robHeadPaddr 197*60ebee38STang Haojin core.module.io.debugTopDown.l3MissMatch := io.debugTopDown.l3MissMatch 198*60ebee38STang Haojin 19977bc15a2SYinan Xu // Modules are reset one by one 20077bc15a2SYinan Xu // io_reset ---- 20177bc15a2SYinan Xu // | 20277bc15a2SYinan Xu // v 20377bc15a2SYinan Xu // reset ----> OR_SYNC --> {Misc, L2 Cache, Cores} 20477bc15a2SYinan Xu val resetChain = Seq( 2054a2390a4SJiawei Lin Seq(misc.module, core.module) ++ 2064a2390a4SJiawei Lin l1d_to_l2_bufferOpt.map(_.module) ++ 2074a2390a4SJiawei Lin l2cache.map(_.module) 20877bc15a2SYinan Xu ) 20967ba96b4SYinan Xu ResetGen(resetChain, reset, !debugOpts.FPGAPlatform) 21073be64b3SJiawei Lin } 21173be64b3SJiawei Lin} 212