173be64b3SJiawei Linpackage xiangshan 273be64b3SJiawei Lin 373be64b3SJiawei Linimport chisel3._ 473be64b3SJiawei Linimport chipsalliance.rocketchip.config.{Config, Parameters} 573be64b3SJiawei Linimport chisel3.util.{Valid, ValidIO} 634ab1ae9SJiawei Linimport freechips.rocketchip.diplomacy.{BundleBridgeSink, LazyModule, LazyModuleImp, LazyModuleImpLike} 773be64b3SJiawei Linimport freechips.rocketchip.interrupts.{IntSinkNode, IntSinkPortParameters, IntSinkPortSimple} 873be64b3SJiawei Linimport freechips.rocketchip.tile.{BusErrorUnit, BusErrorUnitParams, BusErrors} 9496c0adfSJiawei Linimport freechips.rocketchip.tilelink.{BankBinder, TLBuffer, TLIdentityNode, TLNode, TLTempNode, TLXbar} 1073be64b3SJiawei Linimport huancun.debug.TLLogger 1173be64b3SJiawei Linimport huancun.{HCCacheParamsKey, HuanCun} 1273be64b3SJiawei Linimport system.HasSoCParameter 1373be64b3SJiawei Linimport top.BusPerfMonitor 14c4b44470SGuokai Chenimport utils.{DelayN, ResetGen, TLClientsMerger, TLEdgeBuffer} 1573be64b3SJiawei Lin 160f59c834SWilliam Wangclass L1BusErrorUnitInfo(implicit val p: Parameters) extends Bundle with HasSoCParameter { 179ef181f4SWilliam Wang val ecc_error = Valid(UInt(soc.PAddrBits.W)) 1873be64b3SJiawei Lin} 1973be64b3SJiawei Lin 2073be64b3SJiawei Linclass XSL1BusErrors()(implicit val p: Parameters) extends BusErrors { 210f59c834SWilliam Wang val icache = new L1BusErrorUnitInfo 220f59c834SWilliam Wang val dcache = new L1BusErrorUnitInfo 2338005240SJiawei Lin val l2 = new L1BusErrorUnitInfo 2473be64b3SJiawei Lin 2573be64b3SJiawei Lin override def toErrorList: List[Option[(ValidIO[UInt], String, String)]] = 2673be64b3SJiawei Lin List( 2738005240SJiawei Lin Some(icache.ecc_error, "I_ECC", "Icache ecc error"), 2838005240SJiawei Lin Some(dcache.ecc_error, "D_ECC", "Dcache ecc error"), 2938005240SJiawei Lin Some(l2.ecc_error, "L2_ECC", "L2Cache ecc error") 3073be64b3SJiawei Lin ) 3173be64b3SJiawei Lin} 3273be64b3SJiawei Lin 3373be64b3SJiawei Lin/** 3473be64b3SJiawei Lin * XSTileMisc contains every module except Core and L2 Cache 3573be64b3SJiawei Lin */ 3673be64b3SJiawei Linclass XSTileMisc()(implicit p: Parameters) extends LazyModule 3773be64b3SJiawei Lin with HasXSParameter 3873be64b3SJiawei Lin with HasSoCParameter 3973be64b3SJiawei Lin{ 4073be64b3SJiawei Lin val l1_xbar = TLXbar() 4173be64b3SJiawei Lin val mmio_xbar = TLXbar() 42be340b14SJiawei Lin val mmio_port = TLIdentityNode() // to L3 4373be64b3SJiawei Lin val memory_port = TLIdentityNode() 4473be64b3SJiawei Lin val beu = LazyModule(new BusErrorUnit( 45*361e6d51SJiuyang Liu new XSL1BusErrors(), BusErrorUnitParams(0x38010000) 4673be64b3SJiawei Lin )) 4773be64b3SJiawei Lin val busPMU = BusPerfMonitor(enable = !debugOpts.FPGAPlatform) 485668a921SJiawei Lin val l1d_logger = TLLogger(s"L2_L1D_${coreParams.HartId}", !debugOpts.FPGAPlatform) 4973be64b3SJiawei Lin val l2_binder = coreParams.L2CacheParamsOpt.map(_ => BankBinder(coreParams.L2NBanks, 64)) 5073be64b3SJiawei Lin 5173be64b3SJiawei Lin val i_mmio_port = TLTempNode() 5273be64b3SJiawei Lin val d_mmio_port = TLTempNode() 5373be64b3SJiawei Lin 5473be64b3SJiawei Lin busPMU := l1d_logger 5573be64b3SJiawei Lin l1_xbar :=* busPMU 5673be64b3SJiawei Lin 5773be64b3SJiawei Lin l2_binder match { 5873be64b3SJiawei Lin case Some(binder) => 595c753fcbSwakafa memory_port := TLBuffer.chainNode(2) := TLClientsMerger() := TLXbar() :=* binder 6073be64b3SJiawei Lin case None => 6173be64b3SJiawei Lin memory_port := l1_xbar 6273be64b3SJiawei Lin } 6373be64b3SJiawei Lin 64be340b14SJiawei Lin mmio_xbar := TLBuffer.chainNode(2) := i_mmio_port 65be340b14SJiawei Lin mmio_xbar := TLBuffer.chainNode(2) := d_mmio_port 66be340b14SJiawei Lin beu.node := TLBuffer.chainNode(1) := mmio_xbar 67be340b14SJiawei Lin mmio_port := TLBuffer() := mmio_xbar 6873be64b3SJiawei Lin 6973be64b3SJiawei Lin lazy val module = new LazyModuleImp(this){ 7073be64b3SJiawei Lin val beu_errors = IO(Input(chiselTypeOf(beu.module.io.errors))) 7173be64b3SJiawei Lin beu.module.io.errors <> beu_errors 7273be64b3SJiawei Lin } 7373be64b3SJiawei Lin} 7473be64b3SJiawei Lin 7573be64b3SJiawei Linclass XSTile()(implicit p: Parameters) extends LazyModule 7673be64b3SJiawei Lin with HasXSParameter 7773be64b3SJiawei Lin with HasSoCParameter 7873be64b3SJiawei Lin{ 7973be64b3SJiawei Lin private val core = LazyModule(new XSCore()) 8073be64b3SJiawei Lin private val misc = LazyModule(new XSTileMisc()) 8173be64b3SJiawei Lin private val l2cache = coreParams.L2CacheParamsOpt.map(l2param => 8273be64b3SJiawei Lin LazyModule(new HuanCun()(new Config((_, _, _) => { 8373be64b3SJiawei Lin case HCCacheParamsKey => l2param 8473be64b3SJiawei Lin }))) 8573be64b3SJiawei Lin ) 8673be64b3SJiawei Lin 8773be64b3SJiawei Lin // public ports 8873be64b3SJiawei Lin val memory_port = misc.memory_port 89be340b14SJiawei Lin val uncache = misc.mmio_port 9073be64b3SJiawei Lin val clint_int_sink = core.clint_int_sink 9173be64b3SJiawei Lin val plic_int_sink = core.plic_int_sink 9273be64b3SJiawei Lin val debug_int_sink = core.debug_int_sink 9373be64b3SJiawei Lin val beu_int_source = misc.beu.intNode 9434ab1ae9SJiawei Lin val core_reset_sink = BundleBridgeSink(Some(() => Bool())) 9573be64b3SJiawei Lin 9625cb35b6SJiawei Lin val l1d_to_l2_bufferOpt = coreParams.dcacheParametersOpt.map { _ => 9725cb35b6SJiawei Lin val buffer = LazyModule(new TLBuffer) 9825cb35b6SJiawei Lin misc.l1d_logger := buffer.node := core.memBlock.dcache.clientNode 9925cb35b6SJiawei Lin buffer 10073be64b3SJiawei Lin } 10125cb35b6SJiawei Lin 10225cb35b6SJiawei Lin val l1i_to_l2_buffer = LazyModule(new TLBuffer) 103496c0adfSJiawei Lin misc.busPMU := 1045668a921SJiawei Lin TLLogger(s"L2_L1I_${coreParams.HartId}", !debugOpts.FPGAPlatform) := 10525cb35b6SJiawei Lin l1i_to_l2_buffer.node := 106496c0adfSJiawei Lin core.frontend.icache.clientNode 107496c0adfSJiawei Lin 10825cb35b6SJiawei Lin val ptw_to_l2_bufferOpt = if (!coreParams.softPTW) { 10925cb35b6SJiawei Lin val buffer = LazyModule(new TLBuffer) 110496c0adfSJiawei Lin misc.busPMU := 1115668a921SJiawei Lin TLLogger(s"L2_PTW_${coreParams.HartId}", !debugOpts.FPGAPlatform) := 11225cb35b6SJiawei Lin buffer.node := 11325cb35b6SJiawei Lin core.ptw_to_l2_buffer.node 11425cb35b6SJiawei Lin Some(buffer) 11525cb35b6SJiawei Lin } else None 11625cb35b6SJiawei Lin 11773be64b3SJiawei Lin l2cache match { 11873be64b3SJiawei Lin case Some(l2) => 119be340b14SJiawei Lin misc.l2_binder.get :*= l2.node :*= TLBuffer() :*= misc.l1_xbar 12073be64b3SJiawei Lin case None => 12173be64b3SJiawei Lin } 12273be64b3SJiawei Lin 12373be64b3SJiawei Lin misc.i_mmio_port := core.frontend.instrUncache.clientNode 12473be64b3SJiawei Lin misc.d_mmio_port := core.memBlock.uncache.clientNode 12573be64b3SJiawei Lin 12673be64b3SJiawei Lin lazy val module = new LazyModuleImp(this){ 12773be64b3SJiawei Lin val io = IO(new Bundle { 12873be64b3SJiawei Lin val hartId = Input(UInt(64.W)) 129c4b44470SGuokai Chen val reset_vector = Input(UInt(PAddrBits.W)) 130b6900d94SYinan Xu val cpu_halt = Output(Bool()) 13173be64b3SJiawei Lin }) 13273be64b3SJiawei Lin 1335668a921SJiawei Lin dontTouch(io.hartId) 1345668a921SJiawei Lin 13534ab1ae9SJiawei Lin val core_soft_rst = core_reset_sink.in.head._1 13634ab1ae9SJiawei Lin 13773be64b3SJiawei Lin core.module.io.hartId := io.hartId 138c4b44470SGuokai Chen core.module.io.reset_vector := DelayN(io.reset_vector, 5) 139b6900d94SYinan Xu io.cpu_halt := core.module.io.cpu_halt 140cd365d4cSrvcoresjw if(l2cache.isDefined){ 1411ca0e4f3SYinan Xu core.module.io.perfEvents.zip(l2cache.get.module.io.perfEvents.flatten).foreach(x => x._1.value := x._2) 142cd365d4cSrvcoresjw } 143cd365d4cSrvcoresjw else { 144cd365d4cSrvcoresjw core.module.io.perfEvents <> DontCare 145cd365d4cSrvcoresjw } 14673be64b3SJiawei Lin 14738005240SJiawei Lin misc.module.beu_errors.icache <> core.module.io.beu_errors.icache 14838005240SJiawei Lin misc.module.beu_errors.dcache <> core.module.io.beu_errors.dcache 14938005240SJiawei Lin if(l2cache.isDefined){ 15038005240SJiawei Lin misc.module.beu_errors.l2.ecc_error.valid := l2cache.get.module.io.ecc_error.valid 15138005240SJiawei Lin misc.module.beu_errors.l2.ecc_error.bits := l2cache.get.module.io.ecc_error.bits 15238005240SJiawei Lin } else { 15338005240SJiawei Lin misc.module.beu_errors.l2 <> 0.U.asTypeOf(misc.module.beu_errors.l2) 15438005240SJiawei Lin } 15573be64b3SJiawei Lin 15677bc15a2SYinan Xu // Modules are reset one by one 15777bc15a2SYinan Xu // io_reset ---- 15877bc15a2SYinan Xu // | 15977bc15a2SYinan Xu // v 16077bc15a2SYinan Xu // reset ----> OR_SYNC --> {Misc, L2 Cache, Cores} 16177bc15a2SYinan Xu val resetChain = Seq( 16225cb35b6SJiawei Lin Seq(misc.module, core.module, l1i_to_l2_buffer.module) ++ 16325cb35b6SJiawei Lin l2cache.map(_.module) ++ 16425cb35b6SJiawei Lin l1d_to_l2_bufferOpt.map(_.module) ++ ptw_to_l2_bufferOpt.map(_.module) 16577bc15a2SYinan Xu ) 16634ab1ae9SJiawei Lin ResetGen(resetChain, reset.asBool || core_soft_rst, !debugOpts.FPGAPlatform) 16773be64b3SJiawei Lin } 16873be64b3SJiawei Lin} 169