xref: /XiangShan/src/main/scala/xiangshan/XSTile.scala (revision 30f35717e23156cb95b30a36db530384545b48a4)
1d2b20d1aSTang Haojin/***************************************************************************************
2d2b20d1aSTang Haojin* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3d2b20d1aSTang Haojin* Copyright (c) 2020-2021 Peng Cheng Laboratory
4d2b20d1aSTang Haojin*
5d2b20d1aSTang Haojin* XiangShan is licensed under Mulan PSL v2.
6d2b20d1aSTang Haojin* You can use this software according to the terms and conditions of the Mulan PSL v2.
7d2b20d1aSTang Haojin* You may obtain a copy of Mulan PSL v2 at:
8d2b20d1aSTang Haojin*          http://license.coscl.org.cn/MulanPSL2
9d2b20d1aSTang Haojin*
10d2b20d1aSTang Haojin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11d2b20d1aSTang Haojin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12d2b20d1aSTang Haojin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13d2b20d1aSTang Haojin*
14d2b20d1aSTang Haojin* See the Mulan PSL v2 for more details.
15d2b20d1aSTang Haojin***************************************************************************************/
16d2b20d1aSTang Haojin
1773be64b3SJiawei Linpackage xiangshan
1873be64b3SJiawei Lin
198891a219SYinan Xuimport org.chipsalliance.cde.config.{Config, Parameters}
203b739f49SXuan Huimport chisel3._
21007f6122SXuan Huimport chisel3.util.{Valid, ValidIO, log2Up}
224a2390a4SJiawei Linimport freechips.rocketchip.diplomacy._
234a2390a4SJiawei Linimport freechips.rocketchip.interrupts._
2473be64b3SJiawei Linimport freechips.rocketchip.tile.{BusErrorUnit, BusErrorUnitParams, BusErrors}
254a2390a4SJiawei Linimport freechips.rocketchip.tilelink._
264b40434cSzhanglinjuanimport freechips.rocketchip.amba.axi4._
2773be64b3SJiawei Linimport system.HasSoCParameter
284b2c87baS梁森 Liang Senimport top.{ArgParser, BusPerfMonitor, Generator}
2976cb49abScz4eimport utility.{ChiselDB, Constantin, DFTResetSignals, DelayN, FileRegisters, IntBuffer, ResetGen, TLClientsMerger, TLEdgeBuffer, TLLogger}
30*30f35717Scz4eimport utility.sram.SramBroadcastBundle
314b40434cSzhanglinjuanimport coupledL2.EnableCHI
324b40434cSzhanglinjuanimport coupledL2.tl2chi.PortIO
33725e8ddcSchengguanghuiimport xiangshan.backend.trace.TraceCoreInterface
3473be64b3SJiawei Lin
3573be64b3SJiawei Linclass XSTile()(implicit p: Parameters) extends LazyModule
3673be64b3SJiawei Lin  with HasXSParameter
3773be64b3SJiawei Lin  with HasSoCParameter
3873be64b3SJiawei Lin{
3995e60e55STang Haojin  override def shouldBeInlined: Boolean = false
4071489510SXuan Hu  val core = LazyModule(new XSCore())
4171489510SXuan Hu  val l2top = LazyModule(new L2Top())
4273be64b3SJiawei Lin
434b40434cSzhanglinjuan  val enableL2 = coreParams.L2CacheParamsOpt.isDefined
444e12f40bSzhanglinjuan  // =========== Public Ports ============
45233f2ad0Szhanglinjuan  val memBlock = core.memBlock.inner
46233f2ad0Szhanglinjuan  val core_l3_pf_port = memBlock.l3_pf_sender_opt
47233f2ad0Szhanglinjuan  val memory_port = if (enableCHI && enableL2) None else Some(l2top.inner.memory_port.get)
48233f2ad0Szhanglinjuan  val tl_uncache = l2top.inner.mmio_port
4916ae9ddcSTang Haojin  val sep_tl_opt = l2top.inner.sep_tl_port_opt
50233f2ad0Szhanglinjuan  val beu_int_source = l2top.inner.beu.intNode
518a167be7SHaojin Tang  val core_reset_sink = BundleBridgeSink(Some(() => Reset()))
52233f2ad0Szhanglinjuan  val clint_int_node = l2top.inner.clint_int_node
53233f2ad0Szhanglinjuan  val plic_int_node = l2top.inner.plic_int_node
54233f2ad0Szhanglinjuan  val debug_int_node = l2top.inner.debug_int_node
558bc90631SZehao Liu  val nmi_int_node = l2top.inner.nmi_int_node
56233f2ad0Szhanglinjuan  memBlock.clint_int_sink := clint_int_node
57233f2ad0Szhanglinjuan  memBlock.plic_int_sink :*= plic_int_node
58233f2ad0Szhanglinjuan  memBlock.debug_int_sink := debug_int_node
598bc90631SZehao Liu  memBlock.nmi_int_sink := nmi_int_node
6076cb49abScz4e  memBlock.beu_local_int_sink := IntBuffer() := l2top.inner.beu_local_int_source
6173be64b3SJiawei Lin
624e12f40bSzhanglinjuan  // =========== Components' Connection ============
63c20095f4SChen Xi  // L1 to l1_xbar
644e12f40bSzhanglinjuan  coreParams.dcacheParametersOpt.map { _ =>
65233f2ad0Szhanglinjuan    l2top.inner.misc_l2_pmu := l2top.inner.l1d_logger := memBlock.dcache_port :=
66233f2ad0Szhanglinjuan      memBlock.l1d_to_l2_buffer.node := memBlock.dcache.clientNode
6773be64b3SJiawei Lin  }
6825cb35b6SJiawei Lin
69233f2ad0Szhanglinjuan  l2top.inner.misc_l2_pmu := l2top.inner.l1i_logger := memBlock.frontendBridge.icache_node
70a1c09046Ssfencevma  if (!coreParams.softPTW) {
71233f2ad0Szhanglinjuan    l2top.inner.misc_l2_pmu := l2top.inner.ptw_logger := l2top.inner.ptw_to_l2_buffer.node := memBlock.ptw_to_l2_buffer.node
72a1c09046Ssfencevma  }
7325cb35b6SJiawei Lin
740e280184Szhanglinjuan  // L2 Prefetch
75233f2ad0Szhanglinjuan  l2top.inner.l2cache match {
7673be64b3SJiawei Lin    case Some(l2) =>
770e280184Szhanglinjuan      l2.pf_recv_node.foreach(recv => {
78c65495a4SLinJiawei        println("Connecting L1 prefetcher to L2!")
79233f2ad0Szhanglinjuan        recv := memBlock.l2_pf_sender_opt.get
80c65495a4SLinJiawei      })
8173be64b3SJiawei Lin    case None =>
8273be64b3SJiawei Lin  }
8373be64b3SJiawei Lin
84233f2ad0Szhanglinjuan  val core_l3_tpmeta_source_port = l2top.inner.l2cache match {
859672f0b7Swakafa    case Some(l2) => l2.tpmeta_source_node
869672f0b7Swakafa    case None => None
879672f0b7Swakafa  }
88233f2ad0Szhanglinjuan  val core_l3_tpmeta_sink_port = l2top.inner.l2cache match {
899672f0b7Swakafa    case Some(l2) => l2.tpmeta_sink_node
909672f0b7Swakafa    case None => None
919672f0b7Swakafa  }
9273be64b3SJiawei Lin
934e12f40bSzhanglinjuan  // mmio
94233f2ad0Szhanglinjuan  l2top.inner.i_mmio_port := l2top.inner.i_mmio_buffer.node := memBlock.frontendBridge.instr_uncache_node
956c106319Sxu_zh  if (icacheParameters.cacheCtrlAddressOpt.nonEmpty) {
966c106319Sxu_zh    memBlock.frontendBridge.icachectrl_node := l2top.inner.icachectrl_port_opt.get
976c106319Sxu_zh  }
9872dab974Scz4e  l2top.inner.d_mmio_port := memBlock.uncache_port
9973be64b3SJiawei Lin
1004e12f40bSzhanglinjuan  // =========== IO Connection ============
101935edac4STang Haojin  class XSTileImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) {
10273be64b3SJiawei Lin    val io = IO(new Bundle {
103f57f7f2aSYangyu Chen      val hartId = Input(UInt(hartIdLen.W))
1048cfc24b2STang Haojin      val msiInfo = Input(ValidIO(UInt(soc.IMSICParams.MSI_INFO_WIDTH.W)))
1058cfc24b2STang Haojin      val msiAck = Output(Bool())
106c4b44470SGuokai Chen      val reset_vector = Input(UInt(PAddrBits.W))
107b6900d94SYinan Xu      val cpu_halt = Output(Bool())
10885a8d7caSZehao Liu      val cpu_crtical_error = Output(Bool())
109b30cb8bfSGuanghui Cheng      val hartIsInReset = Output(Bool())
110725e8ddcSchengguanghui      val traceCoreInterface = new TraceCoreInterface
11160ebee38STang Haojin      val debugTopDown = new Bundle {
11260ebee38STang Haojin        val robHeadPaddr = Valid(UInt(PAddrBits.W))
11360ebee38STang Haojin        val l3MissMatch = Input(Bool())
11460ebee38STang Haojin      }
115e836c770SZhaoyang You      val l3Miss = Input(Bool())
1164b40434cSzhanglinjuan      val chi = if (enableCHI) Some(new PortIO) else None
1174b40434cSzhanglinjuan      val nodeID = if (enableCHI) Some(Input(UInt(NodeIDWidth.W))) else None
1183bf5eac7SXuan Hu      val clintTime = Input(ValidIO(UInt(64.W)))
119*30f35717Scz4e      val dft = Option.when(hasDFT)(Input(new SramBroadcastBundle))
120*30f35717Scz4e      val dft_reset = Option.when(hasMbist)(Input(new DFTResetSignals()))
1214d7fbe77Syulightenyu      val l2_flush_en = Option.when(EnablePowerDown) (Output(Bool()))
1224d7fbe77Syulightenyu      val l2_flush_done = Option.when(EnablePowerDown) (Output(Bool()))
12373be64b3SJiawei Lin    })
12473be64b3SJiawei Lin
1255668a921SJiawei Lin    dontTouch(io.hartId)
126e156f460SHaojin Tang    dontTouch(io.msiInfo)
12778a8cd25Szhanglinjuan    if (!io.chi.isEmpty) { dontTouch(io.chi.get) }
1285668a921SJiawei Lin
1294e12f40bSzhanglinjuan    val core_soft_rst = core_reset_sink.in.head._1 // unused
13034ab1ae9SJiawei Lin
131233f2ad0Szhanglinjuan    l2top.module.io.hartId.fromTile := io.hartId
132233f2ad0Szhanglinjuan    core.module.io.hartId := l2top.module.io.hartId.toCore
133233f2ad0Szhanglinjuan    core.module.io.reset_vector := l2top.module.io.reset_vector.toCore
134bb42dd89Szhanglinjuan    core.module.io.msiInfo := l2top.module.io.msiInfo.toCore
135bb42dd89Szhanglinjuan    l2top.module.io.msiInfo.fromTile := io.msiInfo
136bb42dd89Szhanglinjuan    core.module.io.clintTime := l2top.module.io.clintTime.toCore
137bb42dd89Szhanglinjuan    l2top.module.io.clintTime.fromTile := io.clintTime
138233f2ad0Szhanglinjuan    l2top.module.io.reset_vector.fromTile := io.reset_vector
139233f2ad0Szhanglinjuan    l2top.module.io.cpu_halt.fromCore := core.module.io.cpu_halt
140233f2ad0Szhanglinjuan    io.cpu_halt := l2top.module.io.cpu_halt.toTile
14185a8d7caSZehao Liu    l2top.module.io.cpu_critical_error.fromCore := core.module.io.cpu_critical_error
14285a8d7caSZehao Liu    io.cpu_crtical_error := l2top.module.io.cpu_critical_error.toTile
1438cfc24b2STang Haojin    l2top.module.io.msiAck.fromCore := core.module.io.msiAck
1448cfc24b2STang Haojin    io.msiAck := l2top.module.io.msiAck.toTile
145233f2ad0Szhanglinjuan
146233f2ad0Szhanglinjuan    l2top.module.io.hartIsInReset.resetInFrontend := core.module.io.resetInFrontend
147233f2ad0Szhanglinjuan    io.hartIsInReset := l2top.module.io.hartIsInReset.toTile
148d288919fSchengguanghui    l2top.module.io.traceCoreInterface.fromCore <> core.module.io.traceCoreInterface
149d288919fSchengguanghui    io.traceCoreInterface <> l2top.module.io.traceCoreInterface.toTile
1504e12f40bSzhanglinjuan
151233f2ad0Szhanglinjuan    l2top.module.io.beu_errors.icache <> core.module.io.beu_errors.icache
152233f2ad0Szhanglinjuan    l2top.module.io.beu_errors.dcache <> core.module.io.beu_errors.dcache
153b7a63495SNewPaulWalker
1544d7fbe77Syulightenyu    l2top.module.io.l2_flush_en.foreach { _ := core.module.io.l2_flush_en }
1554d7fbe77Syulightenyu    io.l2_flush_en.foreach { _ := core.module.io.l2_flush_en }
1564d7fbe77Syulightenyu    core.module.io.l2_flush_done := l2top.module.io.l2_flush_done.getOrElse(false.B)
1574d7fbe77Syulightenyu    io.l2_flush_done.foreach { _ := l2top.module.io.l2_flush_done.getOrElse(false.B) }
1584d7fbe77Syulightenyu
159*30f35717Scz4e    l2top.module.io.dft.zip(io.dft).foreach({ case (a, b) => a := b })
160*30f35717Scz4e    l2top.module.io.dft_reset.zip(io.dft_reset).foreach({ case (a, b) => a := b })
161*30f35717Scz4e    core.module.io.dft.zip(io.dft).foreach({ case (a, b) => a := b })
162*30f35717Scz4e    core.module.io.dft_reset.zip(io.dft_reset).foreach({ case (a, b) => a := b })
1634b2c87baS梁森 Liang Sen
1644b40434cSzhanglinjuan    if (enableL2) {
16515ee59e4Swakafa      // TODO: add ECC interface of L2
166881e32f5SZifei Zhang      l2top.module.io.pfCtrlFromCore := core.module.io.l2PfCtrl
167d2945707SHuijin Li
168233f2ad0Szhanglinjuan      l2top.module.io.beu_errors.l2 <> 0.U.asTypeOf(l2top.module.io.beu_errors.l2)
169233f2ad0Szhanglinjuan      core.module.io.l2_hint.bits.sourceId := l2top.module.io.l2_hint.bits.sourceId
170233f2ad0Szhanglinjuan      core.module.io.l2_hint.bits.isKeyword := l2top.module.io.l2_hint.bits.isKeyword
171233f2ad0Szhanglinjuan      core.module.io.l2_hint.valid := l2top.module.io.l2_hint.valid
172d2945707SHuijin Li
1730d32f713Shappy-lx      core.module.io.l2PfqBusy := false.B
174233f2ad0Szhanglinjuan      core.module.io.debugTopDown.l2MissMatch := l2top.module.io.debugTopDown.l2MissMatch
175233f2ad0Szhanglinjuan      l2top.module.io.debugTopDown.robHeadPaddr := core.module.io.debugTopDown.robHeadPaddr
176233f2ad0Szhanglinjuan      l2top.module.io.debugTopDown.robTrueCommit := core.module.io.debugTopDown.robTrueCommit
177233f2ad0Szhanglinjuan      l2top.module.io.l2_pmp_resp := core.module.io.l2_pmp_resp
178233f2ad0Szhanglinjuan      core.module.io.l2_tlb_req <> l2top.module.io.l2_tlb_req
179e836c770SZhaoyang You      core.module.io.topDownInfo.l2Miss := l2top.module.io.l2Miss
1808bb30a57SJiru Sun
1818bb30a57SJiru Sun      core.module.io.perfEvents <> l2top.module.io.perfEvents
18238005240SJiawei Lin    } else {
183d2945707SHuijin Li
184233f2ad0Szhanglinjuan      l2top.module.io.beu_errors.l2 <> 0.U.asTypeOf(l2top.module.io.beu_errors.l2)
185233f2ad0Szhanglinjuan      core.module.io.l2_hint.bits.sourceId := l2top.module.io.l2_hint.bits.sourceId
186233f2ad0Szhanglinjuan      core.module.io.l2_hint.bits.isKeyword := l2top.module.io.l2_hint.bits.isKeyword
187233f2ad0Szhanglinjuan      core.module.io.l2_hint.valid := l2top.module.io.l2_hint.valid
188d2945707SHuijin Li
1890d32f713Shappy-lx      core.module.io.l2PfqBusy := false.B
19060ebee38STang Haojin      core.module.io.debugTopDown.l2MissMatch := false.B
191e836c770SZhaoyang You      core.module.io.topDownInfo.l2Miss := false.B
192aee6a6d1SYanqin Li
193aee6a6d1SYanqin Li      core.module.io.l2_tlb_req.req.valid := false.B
194aee6a6d1SYanqin Li      core.module.io.l2_tlb_req.req.bits := DontCare
195aee6a6d1SYanqin Li      core.module.io.l2_tlb_req.req_kill := DontCare
196aee6a6d1SYanqin Li      core.module.io.l2_tlb_req.resp.ready := true.B
1978bb30a57SJiru Sun
1988bb30a57SJiru Sun      core.module.io.perfEvents <> DontCare
19938005240SJiawei Lin    }
20073be64b3SJiawei Lin
20160ebee38STang Haojin    io.debugTopDown.robHeadPaddr := core.module.io.debugTopDown.robHeadPaddr
20260ebee38STang Haojin    core.module.io.debugTopDown.l3MissMatch := io.debugTopDown.l3MissMatch
203e836c770SZhaoyang You    l2top.module.io.l3Miss.fromTile := io.l3Miss
204e836c770SZhaoyang You    core.module.io.topDownInfo.l3Miss := l2top.module.io.l3Miss.toCore
20560ebee38STang Haojin
206233f2ad0Szhanglinjuan    io.chi.foreach(_ <> l2top.module.io.chi.get)
207233f2ad0Szhanglinjuan    l2top.module.io.nodeID.foreach(_ := io.nodeID.get)
2084b40434cSzhanglinjuan
209f55cdaabSzhanglinjuan    if (debugOpts.ResetGen && enableL2) {
210f55cdaabSzhanglinjuan      core.module.reset := l2top.module.reset_core
211f55cdaabSzhanglinjuan    }
21273be64b3SJiawei Lin  }
213935edac4STang Haojin
214935edac4STang Haojin  lazy val module = new XSTileImp(this)
21573be64b3SJiawei Lin}
216