xref: /XiangShan/src/main/scala/xiangshan/XSCore.scala (revision 92b88f30156d46e844042eea94f7121557fd09a1)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan
18
19import chipsalliance.rocketchip.config
20import chipsalliance.rocketchip.config.Parameters
21import chisel3._
22import chisel3.util._
23import freechips.rocketchip.diplomacy.{BundleBridgeSource, LazyModule, LazyModuleImp}
24import freechips.rocketchip.interrupts.{IntSinkNode, IntSinkPortSimple}
25import freechips.rocketchip.tile.HasFPUParameters
26import freechips.rocketchip.tilelink.TLBuffer
27import system.HasSoCParameter
28import utility._
29import utils._
30import xiangshan.backend._
31import xiangshan.cache.mmu._
32import xiangshan.frontend._
33import xiangshan.backend._
34import xiangshan.mem.L1PrefetchFuzzer
35
36import scala.collection.mutable.ListBuffer
37
38abstract class XSModule(implicit val p: Parameters) extends Module
39  with HasXSParameter
40  with HasFPUParameters
41
42//remove this trait after impl module logic
43trait NeedImpl {
44  this: RawModule =>
45  override protected def IO[T <: Data](iodef: T): T = {
46    println(s"[Warn]: (${this.name}) please reomve 'NeedImpl' after implement this module")
47    val io = chisel3.experimental.IO(iodef)
48    io <> DontCare
49    io
50  }
51}
52
53abstract class XSBundle(implicit val p: Parameters) extends Bundle
54  with HasXSParameter
55
56abstract class XSCoreBase()(implicit p: config.Parameters) extends LazyModule
57  with HasXSParameter
58{
59  // interrupt sinks
60  val clint_int_sink = IntSinkNode(IntSinkPortSimple(1, 2))
61  val debug_int_sink = IntSinkNode(IntSinkPortSimple(1, 1))
62  val plic_int_sink = IntSinkNode(IntSinkPortSimple(2, 1))
63  // outer facing nodes
64  val frontend = LazyModule(new Frontend())
65  val ptw = LazyModule(new L2TLBWrapper())
66  val ptw_to_l2_buffer = if (!coreParams.softPTW) LazyModule(new TLBuffer) else null
67  val csrOut = BundleBridgeSource(Some(() => new DistributedCSRIO()))
68  val backend = LazyModule(new Backend(backendParams))
69
70  if (!coreParams.softPTW) {
71    ptw_to_l2_buffer.node := ptw.node
72  }
73
74  val memBlock = LazyModule(new MemBlock)
75}
76
77class XSCore()(implicit p: config.Parameters) extends XSCoreBase
78  with HasXSDts
79{
80  lazy val module = new XSCoreImp(this)
81}
82
83class XSCoreImp(outer: XSCoreBase) extends LazyModuleImp(outer)
84  with HasXSParameter
85  with HasSoCParameter {
86  val io = IO(new Bundle {
87    val hartId = Input(UInt(64.W))
88    val reset_vector = Input(UInt(PAddrBits.W))
89    val cpu_halt = Output(Bool())
90    val l2_pf_enable = Output(Bool())
91    val perfEvents = Input(Vec(numPCntHc * coreParams.L2NBanks, new PerfEvent))
92    val beu_errors = Output(new XSL1BusErrors())
93  })
94
95  println(s"FPGAPlatform:${env.FPGAPlatform} EnableDebug:${env.EnableDebug}")
96
97  val frontend = outer.frontend.module
98  val backend = outer.backend.module
99  val memBlock = outer.memBlock.module
100  val ptw = outer.ptw.module
101  val ptw_to_l2_buffer = if (!coreParams.softPTW) outer.ptw_to_l2_buffer.module else null
102
103  val fenceio = backend.io.fenceio
104
105  frontend.io.hartId  := io.hartId
106  frontend.io.backend <> backend.io.frontend
107  frontend.io.sfence <> backend.io.frontendSfence
108  frontend.io.tlbCsr <> backend.io.frontendTlbCsr
109  frontend.io.csrCtrl <> backend.io.frontendCsrCtrl
110  frontend.io.fencei <> fenceio.fencei
111
112  backend.io.fromTop.hartId := io.hartId
113  backend.io.fromTop.externalInterrupt.msip := outer.clint_int_sink.in.head._1(0)
114  backend.io.fromTop.externalInterrupt.mtip := outer.clint_int_sink.in.head._1(1)
115  backend.io.fromTop.externalInterrupt.meip := outer.plic_int_sink.in.head._1(0)
116  backend.io.fromTop.externalInterrupt.seip := outer.plic_int_sink.in.last._1(0)
117  backend.io.fromTop.externalInterrupt.debug := outer.debug_int_sink.in.head._1(0)
118
119  backend.io.frontendCsrDistributedUpdate := frontend.io.csrUpdate
120
121  backend.io.mem.stIn.zip(memBlock.io.stIn).foreach { case (sink, source) =>
122    sink.valid := source.valid
123    sink.bits := 0.U.asTypeOf(sink.bits)
124    sink.bits.robIdx := source.bits.uop.robIdx
125    sink.bits.ssid := source.bits.uop.ssid
126    sink.bits.storeSetHit := source.bits.uop.storeSetHit
127    // The other signals have not been used
128  }
129  backend.io.mem.memoryViolation <> memBlock.io.memoryViolation
130  backend.io.mem.lsqEnqIO <> memBlock.io.enqLsq
131  backend.io.mem.sqDeq := memBlock.io.sqDeq
132  backend.io.mem.lqDeq := memBlock.io.lqDeq
133  backend.io.mem.lqCancelCnt := memBlock.io.lqCancelCnt
134  backend.io.mem.sqCancelCnt := memBlock.io.sqCancelCnt
135  backend.io.mem.otherFastWakeup := memBlock.io.otherFastWakeup
136  backend.io.mem.ldaIqFeedback <> memBlock.io.ldaIqFeedback
137  backend.io.mem.staIqFeedback <> memBlock.io.staIqFeedback
138  backend.io.mem.writeBack.zip(memBlock.io.writeback).foreach { case(back, mem) =>
139    back <> mem
140  }
141
142  frontend.io.reset_vector := io.reset_vector
143
144  io.cpu_halt := backend.io.toTop.cpuHalted
145
146  // memblock error exception writeback, 1 cycle after normal writeback
147  backend.io.mem.s3_delayed_load_error <> memBlock.io.s3_delayed_load_error
148
149  io.beu_errors.icache <> frontend.io.error.toL1BusErrorUnitInfo()
150  io.beu_errors.dcache <> memBlock.io.error.toL1BusErrorUnitInfo()
151
152  memBlock.io.hartId := io.hartId
153  memBlock.io.issue.zip(backend.io.mem.issueUops).foreach { case(memIssue, backIssue) =>
154    memIssue <> backIssue
155  }
156  // By default, instructions do not have exceptions when they enter the function units.
157  memBlock.io.issue.map(_.bits.uop.clearExceptions())
158  backend.io.mem.loadFastMatch <> memBlock.io.loadFastMatch
159  backend.io.mem.loadFastImm <> memBlock.io.loadFastImm
160  backend.io.mem.exceptionVAddr := memBlock.io.lsqio.exceptionAddr.vaddr
161  backend.io.mem.csrDistributedUpdate := memBlock.io.csrUpdate
162
163  backend.io.perf.frontendInfo := frontend.io.frontendInfo
164  backend.io.perf.memInfo := memBlock.io.memInfo
165  backend.io.perf.perfEventsFrontend := frontend.getPerf
166  backend.io.perf.perfEventsLsu := memBlock.getPerf
167  backend.io.perf.perfEventsHc := io.perfEvents
168
169  //  XSPerfHistogram("fastIn_count", PopCount(allFastUop1.map(_.valid)), true.B, 0, allFastUop1.length, 1)
170//  XSPerfHistogram("wakeup_count", PopCount(rfWriteback.map(_.valid)), true.B, 0, rfWriteback.length, 1)
171
172//  ctrlBlock.perfinfo.perfEventsEu0 := intExuBlock.getPerf.dropRight(outer.intExuBlock.scheduler.numRs)
173//  ctrlBlock.perfinfo.perfEventsEu1 := vecExuBlock.getPerf.dropRight(outer.vecExuBlock.scheduler.numRs)
174  if (!coreParams.softPTW) {
175    memBlock.io.perfEventsPTW := ptw.getPerf
176  } else {
177    memBlock.io.perfEventsPTW := DontCare
178  }
179//  ctrlBlock.perfinfo.perfEventsRs  := outer.exuBlocks.flatMap(b => b.module.getPerf.takeRight(b.scheduler.numRs))
180
181  memBlock.io.sfence <> backend.io.mem.sfence
182  memBlock.io.fenceToSbuffer <> backend.io.mem.toSbuffer
183
184  memBlock.io.redirect <> backend.io.mem.redirect
185  memBlock.io.csrCtrl <> backend.io.mem.csrCtrl
186  memBlock.io.tlbCsr <> backend.io.mem.tlbCsr
187  memBlock.io.lsqio.rob <> backend.io.mem.robLsqIO
188  memBlock.io.lsqio.exceptionAddr.isStore := backend.io.mem.isStoreException
189
190  val itlbRepeater1 = PTWFilter(itlbParams.fenceDelay,frontend.io.ptw, fenceio.sfence, backend.io.tlb, l2tlbParams.ifilterSize)
191  val itlbRepeater2 = PTWRepeaterNB(passReady = false, itlbParams.fenceDelay, itlbRepeater1.io.ptw, ptw.io.tlb(0), fenceio.sfence, backend.io.tlb)
192  val dtlbRepeater1  = PTWFilter(ldtlbParams.fenceDelay, memBlock.io.ptw, fenceio.sfence, backend.io.tlb, l2tlbParams.dfilterSize)
193  val dtlbRepeater2  = PTWRepeaterNB(passReady = false, ldtlbParams.fenceDelay, dtlbRepeater1.io.ptw, ptw.io.tlb(1), fenceio.sfence, backend.io.tlb)
194  ptw.io.sfence <> fenceio.sfence
195  ptw.io.csr.tlb <> backend.io.tlb
196  ptw.io.csr.distribute_csr <> backend.io.csrCustomCtrl.distribute_csr
197
198  // if l2 prefetcher use stream prefetch, it should be placed in XSCore
199  io.l2_pf_enable := backend.io.csrCustomCtrl.l2_pf_enable
200
201  // Modules are reset one by one
202  val resetTree = ResetGenNode(
203    Seq(
204      ModuleNode(memBlock), ModuleNode(dtlbRepeater1),
205      ResetGenNode(Seq(
206        ModuleNode(itlbRepeater2),
207        ModuleNode(ptw),
208        ModuleNode(dtlbRepeater2),
209        ModuleNode(ptw_to_l2_buffer),
210      )),
211      ResetGenNode(Seq(
212        ModuleNode(backend),
213        ResetGenNode(Seq(
214          ResetGenNode(Seq(
215            ModuleNode(frontend), ModuleNode(itlbRepeater1)
216          ))
217        ))
218      ))
219    )
220  )
221
222  ResetGen(resetTree, reset, !debugOpts.FPGAPlatform)
223
224}
225