1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan 18 19import org.chipsalliance.cde.config 20import org.chipsalliance.cde.config.Parameters 21import chisel3._ 22import chisel3.util._ 23import freechips.rocketchip.diplomacy.{BundleBridgeSource, LazyModule, LazyModuleImp} 24import freechips.rocketchip.interrupts.{IntSinkNode, IntSinkPortSimple} 25import freechips.rocketchip.tile.HasFPUParameters 26import system.HasSoCParameter 27import utils._ 28import utility._ 29import xiangshan.backend._ 30import xiangshan.cache.mmu._ 31import xiangshan.frontend._ 32import xiangshan.mem.L1PrefetchFuzzer 33 34abstract class XSModule(implicit val p: Parameters) extends Module 35 with HasXSParameter 36 with HasFPUParameters 37 38//remove this trait after impl module logic 39trait NeedImpl { 40 this: RawModule => 41 protected def IO[T <: Data](iodef: T): T = { 42 println(s"[Warn]: (${this.name}) please reomve 'NeedImpl' after implement this module") 43 val io = chisel3.IO(iodef) 44 io <> DontCare 45 io 46 } 47} 48 49abstract class XSBundle(implicit val p: Parameters) extends Bundle 50 with HasXSParameter 51 52abstract class XSCoreBase()(implicit p: config.Parameters) extends LazyModule 53 with HasXSParameter 54{ 55 override def shouldBeInlined: Boolean = false 56 // interrupt sinks 57 val clint_int_sink = IntSinkNode(IntSinkPortSimple(1, 2)) 58 val debug_int_sink = IntSinkNode(IntSinkPortSimple(1, 1)) 59 val plic_int_sink = IntSinkNode(IntSinkPortSimple(2, 1)) 60 // outer facing nodes 61 val frontend = LazyModule(new Frontend()) 62 val csrOut = BundleBridgeSource(Some(() => new DistributedCSRIO())) 63 val backend = LazyModule(new Backend(backendParams)) 64 65 val memBlock = LazyModule(new MemBlock) 66} 67 68class XSCore()(implicit p: config.Parameters) extends XSCoreBase 69 with HasXSDts 70{ 71 lazy val module = new XSCoreImp(this) 72} 73 74class XSCoreImp(outer: XSCoreBase) extends LazyModuleImp(outer) 75 with HasXSParameter 76 with HasSoCParameter { 77 val io = IO(new Bundle { 78 val hartId = Input(UInt(64.W)) 79 val reset_vector = Input(UInt(PAddrBits.W)) 80 val cpu_halt = Output(Bool()) 81 val l2_pf_enable = Output(Bool()) 82 val perfEvents = Input(Vec(numPCntHc * coreParams.L2NBanks, new PerfEvent)) 83 val beu_errors = Output(new XSL1BusErrors()) 84 val l2_hint = Input(Valid(new L2ToL1Hint())) 85 val l2PfqBusy = Input(Bool()) 86 val debugTopDown = new Bundle { 87 val robHeadPaddr = Valid(UInt(PAddrBits.W)) 88 val l2MissMatch = Input(Bool()) 89 val l3MissMatch = Input(Bool()) 90 } 91 }) 92 93 println(s"FPGAPlatform:${env.FPGAPlatform} EnableDebug:${env.EnableDebug}") 94 95 val frontend = outer.frontend.module 96 val backend = outer.backend.module 97 val memBlock = outer.memBlock.module 98 99 val fenceio = backend.io.fenceio 100 fenceio.disableSfence := DontCare 101 102 frontend.io.hartId := io.hartId 103 frontend.io.backend <> backend.io.frontend 104 frontend.io.sfence <> backend.io.frontendSfence 105 frontend.io.tlbCsr <> backend.io.frontendTlbCsr 106 frontend.io.csrCtrl <> backend.io.frontendCsrCtrl 107 frontend.io.fencei <> fenceio.fencei 108 109 backend.io.fromTop.hartId := io.hartId 110 backend.io.fromTop.externalInterrupt.msip := outer.clint_int_sink.in.head._1(0) 111 backend.io.fromTop.externalInterrupt.mtip := outer.clint_int_sink.in.head._1(1) 112 backend.io.fromTop.externalInterrupt.meip := outer.plic_int_sink.in.head._1(0) 113 backend.io.fromTop.externalInterrupt.seip := outer.plic_int_sink.in.last._1(0) 114 backend.io.fromTop.externalInterrupt.debug := outer.debug_int_sink.in.head._1(0) 115 116 backend.io.frontendCsrDistributedUpdate := frontend.io.csrUpdate 117 118 backend.io.mem.stIn.zip(memBlock.io.mem_to_ooo.stIn).foreach { case (sink, source) => 119 sink.valid := source.valid 120 sink.bits := 0.U.asTypeOf(sink.bits) 121 sink.bits.robIdx := source.bits.uop.robIdx 122 sink.bits.ssid := source.bits.uop.ssid 123 sink.bits.storeSetHit := source.bits.uop.storeSetHit 124 // The other signals have not been used 125 } 126 backend.io.mem.memoryViolation <> memBlock.io.mem_to_ooo.memoryViolation 127 backend.io.mem.lsqEnqIO <> memBlock.io.ooo_to_mem.enqLsq 128 backend.io.mem.sqDeq := memBlock.io.mem_to_ooo.sqDeq 129 backend.io.mem.lqDeq := memBlock.io.mem_to_ooo.lqDeq 130 backend.io.mem.lqCancelCnt := memBlock.io.mem_to_ooo.lqCancelCnt 131 backend.io.mem.sqCancelCnt := memBlock.io.mem_to_ooo.sqCancelCnt 132 backend.io.mem.otherFastWakeup := memBlock.io.mem_to_ooo.otherFastWakeup 133 backend.io.mem.stIssuePtr := memBlock.io.mem_to_ooo.stIssuePtr 134 backend.io.mem.ldaIqFeedback <> memBlock.io.ldaIqFeedback 135 backend.io.mem.staIqFeedback <> memBlock.io.staIqFeedback 136 backend.io.mem.hyuIqFeedback <> memBlock.io.hyuIqFeedback 137 backend.io.mem.ldCancel <> memBlock.io.ldCancel 138 backend.io.mem.writeBackToBackend.zipAll(memBlock.io.mem_to_ooo.writeback, DontCare, DontCare).foreach { case (back, mem) => 139 back <> mem 140 } // TODO: replace zipAll with zip when vls is fully implemented 141 142 backend.io.mem.robLsqIO.mmio := memBlock.io.mem_to_ooo.lsqio.mmio 143 backend.io.mem.robLsqIO.uop := memBlock.io.mem_to_ooo.lsqio.uop 144 145 frontend.io.reset_vector := io.reset_vector 146 147 io.cpu_halt := backend.io.toTop.cpuHalted 148 149 // memblock error exception writeback, 1 cycle after normal writeback 150 backend.io.mem.s3_delayed_load_error <> memBlock.io.s3_delayed_load_error 151 152 io.beu_errors.icache <> frontend.io.error.toL1BusErrorUnitInfo() 153 io.beu_errors.dcache <> memBlock.io.error.toL1BusErrorUnitInfo() 154 io.beu_errors.l2 <> DontCare 155 156 memBlock.io.hartId := io.hartId 157 memBlock.io.ooo_to_mem.issue.zipAll(backend.io.mem.issueUopsToMem, DontCare, DontCare).foreach { case(memIssue, backIssue) => 158 backIssue <> memIssue 159 } // TODO: replace zipAll with zip when vls is fully implemented 160 // By default, instructions do not have exceptions when they enter the function units. 161 memBlock.io.ooo_to_mem.issue.map(_.bits.uop.clearExceptions()) 162 memBlock.io.ooo_to_mem.loadPc := backend.io.mem.loadPcRead 163 memBlock.io.ooo_to_mem.storePc := backend.io.mem.storePcRead 164 memBlock.io.ooo_to_mem.flushSb := backend.io.fenceio.sbuffer.flushSb 165 memBlock.io.ooo_to_mem.loadFastMatch := 0.U.asTypeOf(memBlock.io.ooo_to_mem.loadFastMatch) 166 memBlock.io.ooo_to_mem.loadFastImm := 0.U.asTypeOf(memBlock.io.ooo_to_mem.loadFastImm) 167 memBlock.io.ooo_to_mem.loadFastFuOpType := 0.U.asTypeOf(memBlock.io.ooo_to_mem.loadFastFuOpType) 168 169 backend.io.mem.exceptionVAddr := memBlock.io.mem_to_ooo.lsqio.vaddr 170 backend.io.mem.csrDistributedUpdate := memBlock.io.mem_to_ooo.csrUpdate 171 backend.io.mem.debugLS := memBlock.io.debug_ls 172 backend.io.mem.lsTopdownInfo := memBlock.io.mem_to_ooo.lsTopdownInfo 173 backend.io.mem.lqCanAccept := memBlock.io.mem_to_ooo.lsqio.lqCanAccept 174 backend.io.mem.sqCanAccept := memBlock.io.mem_to_ooo.lsqio.sqCanAccept 175 backend.io.fenceio.sbuffer.sbIsEmpty := memBlock.io.mem_to_ooo.sbIsEmpty 176 177 backend.io.perf.frontendInfo := frontend.io.frontendInfo 178 backend.io.perf.memInfo := memBlock.io.memInfo 179 backend.io.perf.perfEventsFrontend := frontend.getPerf 180 backend.io.perf.perfEventsLsu := memBlock.getPerf 181 backend.io.perf.perfEventsHc := io.perfEvents 182 backend.io.perf.perfEventsCtrl := DontCare 183 backend.io.perf.retiredInstr := DontCare 184 backend.io.perf.ctrlInfo := DontCare 185 186 187 memBlock.io.ooo_to_mem.sfence <> backend.io.mem.sfence 188 189 memBlock.io.redirect <> backend.io.mem.redirect 190 memBlock.io.ooo_to_mem.csrCtrl <> backend.io.mem.csrCtrl 191 memBlock.io.ooo_to_mem.tlbCsr <> backend.io.mem.tlbCsr 192 memBlock.io.ooo_to_mem.lsqio.lcommit := backend.io.mem.robLsqIO.lcommit 193 memBlock.io.ooo_to_mem.lsqio.scommit := backend.io.mem.robLsqIO.scommit 194 memBlock.io.ooo_to_mem.lsqio.pendingld := backend.io.mem.robLsqIO.pendingld 195 memBlock.io.ooo_to_mem.lsqio.pendingst := backend.io.mem.robLsqIO.pendingst 196 memBlock.io.ooo_to_mem.lsqio.commit := backend.io.mem.robLsqIO.commit 197 memBlock.io.ooo_to_mem.lsqio.pendingPtr := backend.io.mem.robLsqIO.pendingPtr 198 memBlock.io.ooo_to_mem.isStore := backend.io.mem.isStoreException 199 200 memBlock.io.fetch_to_mem.itlb <> frontend.io.ptw 201 memBlock.io.l2_hint.valid := io.l2_hint.valid 202 memBlock.io.l2_hint.bits.sourceId := io.l2_hint.bits.sourceId 203 memBlock.io.l2PfqBusy := io.l2PfqBusy 204 memBlock.io.int2vlsu <> DontCare 205 memBlock.io.vec2vlsu <> DontCare 206 memBlock.io.vlsu2vec <> DontCare 207 memBlock.io.vlsu2int <> DontCare 208 memBlock.io.vlsu2ctrl <> DontCare 209 210 // TODO: Connect us when implemented 211 memBlock.io.int2vlsu <> DontCare 212 memBlock.io.vec2vlsu <> DontCare 213 memBlock.io.vlsu2vec <> DontCare 214 memBlock.io.vlsu2int <> DontCare 215 memBlock.io.vlsu2ctrl <> DontCare 216 217 // if l2 prefetcher use stream prefetch, it should be placed in XSCore 218 io.l2_pf_enable := backend.io.csrCustomCtrl.l2_pf_enable 219 220 // top-down info 221 memBlock.io.debugTopDown.robHeadVaddr := backend.io.debugTopDown.fromRob.robHeadVaddr 222 frontend.io.debugTopDown.robHeadVaddr := backend.io.debugTopDown.fromRob.robHeadVaddr 223 io.debugTopDown.robHeadPaddr := backend.io.debugTopDown.fromRob.robHeadPaddr 224 backend.io.debugTopDown.fromCore.l2MissMatch := io.debugTopDown.l2MissMatch 225 backend.io.debugTopDown.fromCore.l3MissMatch := io.debugTopDown.l3MissMatch 226 backend.io.debugTopDown.fromCore.fromMem := memBlock.io.debugTopDown.toCore 227 memBlock.io.debugRolling := backend.io.debugRolling 228 229 // Modules are reset one by one 230 val resetTree = ResetGenNode( 231 Seq( 232 ModuleNode(memBlock), 233 ResetGenNode(Seq( 234 ModuleNode(backend), 235 ResetGenNode(Seq( 236 ResetGenNode(Seq( 237 ModuleNode(frontend) 238 )) 239 )) 240 )) 241 ) 242 ) 243 244 ResetGen(resetTree, reset, !debugOpts.FPGAPlatform) 245 246} 247