14e12f40bSzhanglinjuan/*************************************************************************************** 24e12f40bSzhanglinjuan * Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 34e12f40bSzhanglinjuan * Copyright (c) 2020-2021 Peng Cheng Laboratory 44e12f40bSzhanglinjuan * 54e12f40bSzhanglinjuan * XiangShan is licensed under Mulan PSL v2. 64e12f40bSzhanglinjuan * You can use this software according to the terms and conditions of the Mulan PSL v2. 74e12f40bSzhanglinjuan * You may obtain a copy of Mulan PSL v2 at: 84e12f40bSzhanglinjuan * http://license.coscl.org.cn/MulanPSL2 94e12f40bSzhanglinjuan * 104e12f40bSzhanglinjuan * THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 114e12f40bSzhanglinjuan * EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 124e12f40bSzhanglinjuan * MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 134e12f40bSzhanglinjuan * 144e12f40bSzhanglinjuan * See the Mulan PSL v2 for more details. 154e12f40bSzhanglinjuan ***************************************************************************************/ 164e12f40bSzhanglinjuan 174e12f40bSzhanglinjuanpackage xiangshan 184e12f40bSzhanglinjuan 194e12f40bSzhanglinjuanimport chisel3._ 204b40434cSzhanglinjuanimport chisel3.util._ 214e12f40bSzhanglinjuanimport org.chipsalliance.cde.config._ 224e12f40bSzhanglinjuanimport chisel3.util.{Valid, ValidIO} 234e12f40bSzhanglinjuanimport freechips.rocketchip.diplomacy._ 244e12f40bSzhanglinjuanimport freechips.rocketchip.interrupts._ 254daa5bf3SYangyu Chenimport freechips.rocketchip.tile.{BusErrorUnit, BusErrorUnitParams, BusErrors, MaxHartIdBits} 264e12f40bSzhanglinjuanimport freechips.rocketchip.tilelink._ 274b40434cSzhanglinjuanimport coupledL2.{L2ParamKey, EnableCHI} 284b40434cSzhanglinjuanimport coupledL2.tl2tl.TL2TLCoupledL2 291fc8b877Szhanglinjuanimport coupledL2.tl2chi.{TL2CHICoupledL2, PortIO, CHIIssue} 304b40434cSzhanglinjuanimport huancun.BankBitsKey 314e12f40bSzhanglinjuanimport system.HasSoCParameter 324e12f40bSzhanglinjuanimport top.BusPerfMonitor 33bb2f3f51STang Haojinimport utility._ 34aee6a6d1SYanqin Liimport xiangshan.cache.mmu.TlbRequestIO 350d3835a5SYanqin Liimport xiangshan.backend.fu.PMPRespBundle 364e12f40bSzhanglinjuan 374e12f40bSzhanglinjuanclass L1BusErrorUnitInfo(implicit val p: Parameters) extends Bundle with HasSoCParameter { 384e12f40bSzhanglinjuan val ecc_error = Valid(UInt(soc.PAddrBits.W)) 394e12f40bSzhanglinjuan} 404e12f40bSzhanglinjuan 414e12f40bSzhanglinjuanclass XSL1BusErrors()(implicit val p: Parameters) extends BusErrors { 424e12f40bSzhanglinjuan val icache = new L1BusErrorUnitInfo 434e12f40bSzhanglinjuan val dcache = new L1BusErrorUnitInfo 444e12f40bSzhanglinjuan val l2 = new L1BusErrorUnitInfo 454e12f40bSzhanglinjuan 464e12f40bSzhanglinjuan override def toErrorList: List[Option[(ValidIO[UInt], String, String)]] = 474e12f40bSzhanglinjuan List( 484e12f40bSzhanglinjuan Some(icache.ecc_error, "I_ECC", "Icache ecc error"), 494e12f40bSzhanglinjuan Some(dcache.ecc_error, "D_ECC", "Dcache ecc error"), 504e12f40bSzhanglinjuan Some(l2.ecc_error, "L2_ECC", "L2Cache ecc error") 514e12f40bSzhanglinjuan ) 524e12f40bSzhanglinjuan} 534e12f40bSzhanglinjuan 544e12f40bSzhanglinjuan/** 554e12f40bSzhanglinjuan * L2Top contains everything between Core and XSTile-IO 564e12f40bSzhanglinjuan */ 57233f2ad0Szhanglinjuanclass L2TopInlined()(implicit p: Parameters) extends LazyModule 584e12f40bSzhanglinjuan with HasXSParameter 594e12f40bSzhanglinjuan with HasSoCParameter 604e12f40bSzhanglinjuan{ 61233f2ad0Szhanglinjuan override def shouldBeInlined: Boolean = true 62233f2ad0Szhanglinjuan 634e12f40bSzhanglinjuan def chainBuffer(depth: Int, n: String): (Seq[LazyModule], TLNode) = { 644e12f40bSzhanglinjuan val buffers = Seq.fill(depth){ LazyModule(new TLBuffer()) } 654e12f40bSzhanglinjuan buffers.zipWithIndex.foreach{ case (b, i) => { 664e12f40bSzhanglinjuan b.suggestName(s"${n}_${i}") 674e12f40bSzhanglinjuan }} 684e12f40bSzhanglinjuan val node = buffers.map(_.node.asInstanceOf[TLNode]).reduce(_ :*=* _) 694e12f40bSzhanglinjuan (buffers, node) 704e12f40bSzhanglinjuan } 714b40434cSzhanglinjuan val enableL2 = coreParams.L2CacheParamsOpt.isDefined 724e12f40bSzhanglinjuan // =========== Components ============ 734e12f40bSzhanglinjuan val l1_xbar = TLXbar() 744e12f40bSzhanglinjuan val mmio_xbar = TLXbar() 754e12f40bSzhanglinjuan val mmio_port = TLIdentityNode() // to L3 764b40434cSzhanglinjuan val memory_port = if (enableCHI && enableL2) None else Some(TLIdentityNode()) 774e12f40bSzhanglinjuan val beu = LazyModule(new BusErrorUnit( 784e12f40bSzhanglinjuan new XSL1BusErrors(), BusErrorUnitParams(0x38010000) 794e12f40bSzhanglinjuan )) 804e12f40bSzhanglinjuan 814e12f40bSzhanglinjuan val i_mmio_port = TLTempNode() 824e12f40bSzhanglinjuan val d_mmio_port = TLTempNode() 834e12f40bSzhanglinjuan 844e12f40bSzhanglinjuan val misc_l2_pmu = BusPerfMonitor(name = "Misc_L2", enable = !debugOpts.FPGAPlatform) // l1D & l1I & PTW 8578a8cd25Szhanglinjuan val l2_l3_pmu = BusPerfMonitor(name = "L2_L3", enable = !debugOpts.FPGAPlatform && !enableCHI, stat_latency = true) 86c20095f4SChen Xi val xbar_l2_buffer = TLBuffer() 874e12f40bSzhanglinjuan 884e12f40bSzhanglinjuan val enbale_tllog = !debugOpts.FPGAPlatform && debugOpts.AlwaysBasicDB 894e12f40bSzhanglinjuan val l1d_logger = TLLogger(s"L2_L1D_${coreParams.HartId}", enbale_tllog) 904e12f40bSzhanglinjuan val l1i_logger = TLLogger(s"L2_L1I_${coreParams.HartId}", enbale_tllog) 914e12f40bSzhanglinjuan val ptw_logger = TLLogger(s"L2_PTW_${coreParams.HartId}", enbale_tllog) 92c20095f4SChen Xi val ptw_to_l2_buffer = LazyModule(new TLBuffer) 93c20095f4SChen Xi val i_mmio_buffer = LazyModule(new TLBuffer) 944e12f40bSzhanglinjuan 954e12f40bSzhanglinjuan val clint_int_node = IntIdentityNode() 964e12f40bSzhanglinjuan val debug_int_node = IntIdentityNode() 974e12f40bSzhanglinjuan val plic_int_node = IntIdentityNode() 988bc90631SZehao Liu val nmi_int_node = IntIdentityNode() 994e12f40bSzhanglinjuan 1004b40434cSzhanglinjuan println(s"enableCHI: ${enableCHI}") 1010e280184Szhanglinjuan val l2cache = if (enableL2) { 1020e280184Szhanglinjuan val config = new Config((_, _, _) => { 1034b40434cSzhanglinjuan case L2ParamKey => coreParams.L2CacheParamsOpt.get.copy( 1044daa5bf3SYangyu Chen hartId = p(XSCoreParamsKey).HartId, 1054e12f40bSzhanglinjuan FPGAPlatform = debugOpts.FPGAPlatform 1064e12f40bSzhanglinjuan ) 1070e280184Szhanglinjuan case EnableCHI => p(EnableCHI) 1081fc8b877Szhanglinjuan case CHIIssue => p(CHIIssue) 1094b40434cSzhanglinjuan case BankBitsKey => log2Ceil(coreParams.L2NBanks) 1104daa5bf3SYangyu Chen case MaxHartIdBits => p(MaxHartIdBits) 111bb2f3f51STang Haojin case LogUtilsOptionsKey => p(LogUtilsOptionsKey) 112bb2f3f51STang Haojin case PerfCounterOptionsKey => p(PerfCounterOptionsKey) 1130e280184Szhanglinjuan }) 1140e280184Szhanglinjuan if (enableCHI) Some(LazyModule(new TL2CHICoupledL2()(new Config(config)))) 1150e280184Szhanglinjuan else Some(LazyModule(new TL2TLCoupledL2()(new Config(config)))) 1164b40434cSzhanglinjuan } else None 1174e12f40bSzhanglinjuan val l2_binder = coreParams.L2CacheParamsOpt.map(_ => BankBinder(coreParams.L2NBanks, 64)) 1184e12f40bSzhanglinjuan 1194e12f40bSzhanglinjuan // =========== Connection ============ 1204e12f40bSzhanglinjuan // l2 to l2_binder, then to memory_port 1210e280184Szhanglinjuan l2cache match { 1220e280184Szhanglinjuan case Some(l2) => 1230e280184Szhanglinjuan l2_binder.get :*= l2.node :*= xbar_l2_buffer :*= l1_xbar :=* misc_l2_pmu 1240e280184Szhanglinjuan l2 match { 1250e280184Szhanglinjuan case l2: TL2TLCoupledL2 => 1260e280184Szhanglinjuan memory_port.get := l2_l3_pmu := TLClientsMerger() := TLXbar() :=* l2_binder.get 1270e280184Szhanglinjuan case l2: TL2CHICoupledL2 => 1280e280184Szhanglinjuan l2.managerNode := TLXbar() :=* l2_binder.get 1290e280184Szhanglinjuan l2.mmioNode := mmio_port 1304e12f40bSzhanglinjuan } 1314b40434cSzhanglinjuan case None => 1324b40434cSzhanglinjuan memory_port.get := l1_xbar 1334b40434cSzhanglinjuan } 1344b40434cSzhanglinjuan 1354e12f40bSzhanglinjuan mmio_xbar := TLBuffer.chainNode(2) := i_mmio_port 1364e12f40bSzhanglinjuan mmio_xbar := TLBuffer.chainNode(2) := d_mmio_port 1374e12f40bSzhanglinjuan beu.node := TLBuffer.chainNode(1) := mmio_xbar 1384e12f40bSzhanglinjuan mmio_port := TLBuffer() := mmio_xbar 1394e12f40bSzhanglinjuan 140233f2ad0Szhanglinjuan class Imp(wrapper: LazyModule) extends LazyModuleImp(wrapper) { 141233f2ad0Szhanglinjuan val io = IO(new Bundle { 142233f2ad0Szhanglinjuan val beu_errors = Input(chiselTypeOf(beu.module.io.errors)) 143233f2ad0Szhanglinjuan val reset_vector = new Bundle { 1444e12f40bSzhanglinjuan val fromTile = Input(UInt(PAddrBits.W)) 1454e12f40bSzhanglinjuan val toCore = Output(UInt(PAddrBits.W)) 146233f2ad0Szhanglinjuan } 147233f2ad0Szhanglinjuan val hartId = new Bundle() { 1484e12f40bSzhanglinjuan val fromTile = Input(UInt(64.W)) 1494e12f40bSzhanglinjuan val toCore = Output(UInt(64.W)) 150233f2ad0Szhanglinjuan } 151233f2ad0Szhanglinjuan val cpu_halt = new Bundle() { 1524e12f40bSzhanglinjuan val fromCore = Input(Bool()) 1534e12f40bSzhanglinjuan val toTile = Output(Bool()) 154233f2ad0Szhanglinjuan } 155233f2ad0Szhanglinjuan val hartIsInReset = new Bundle() { 156233f2ad0Szhanglinjuan val resetInFrontend = Input(Bool()) 157233f2ad0Szhanglinjuan val toTile = Output(Bool()) 158233f2ad0Szhanglinjuan } 159233f2ad0Szhanglinjuan val debugTopDown = new Bundle() { 160aee6a6d1SYanqin Li val robTrueCommit = Input(UInt(64.W)) 1614e12f40bSzhanglinjuan val robHeadPaddr = Flipped(Valid(UInt(36.W))) 1624e12f40bSzhanglinjuan val l2MissMatch = Output(Bool()) 163233f2ad0Szhanglinjuan } 164233f2ad0Szhanglinjuan val chi = if (enableCHI) Some(new PortIO) else None 165233f2ad0Szhanglinjuan val nodeID = if (enableCHI) Some(Input(UInt(NodeIDWidth.W))) else None 166233f2ad0Szhanglinjuan val l2_tlb_req = new TlbRequestIO(nRespDups = 2) 167233f2ad0Szhanglinjuan val l2_pmp_resp = Flipped(new PMPRespBundle) 168233f2ad0Szhanglinjuan val l2_hint = ValidIO(new L2ToL1Hint()) 169*8bb30a57SJiru Sun val perfEvents = Output(Vec(numPCntHc * coreParams.L2NBanks + 1, new PerfEvent)) 170233f2ad0Szhanglinjuan // val reset_core = IO(Output(Reset())) 1714e12f40bSzhanglinjuan }) 1724e12f40bSzhanglinjuan 1734e12f40bSzhanglinjuan val resetDelayN = Module(new DelayN(UInt(PAddrBits.W), 5)) 1744e12f40bSzhanglinjuan 175233f2ad0Szhanglinjuan beu.module.io.errors <> io.beu_errors 176233f2ad0Szhanglinjuan resetDelayN.io.in := io.reset_vector.fromTile 177233f2ad0Szhanglinjuan io.reset_vector.toCore := resetDelayN.io.out 178233f2ad0Szhanglinjuan io.hartId.toCore := io.hartId.fromTile 179233f2ad0Szhanglinjuan io.cpu_halt.toTile := io.cpu_halt.fromCore 180233f2ad0Szhanglinjuan dontTouch(io.hartId) 181233f2ad0Szhanglinjuan dontTouch(io.cpu_halt) 182233f2ad0Szhanglinjuan if (!io.chi.isEmpty) { dontTouch(io.chi.get) } 183233f2ad0Szhanglinjuan 184233f2ad0Szhanglinjuan val hartIsInReset = RegInit(true.B) 185233f2ad0Szhanglinjuan hartIsInReset := io.hartIsInReset.resetInFrontend || reset.asBool 186233f2ad0Szhanglinjuan io.hartIsInReset.toTile := hartIsInReset 1874e12f40bSzhanglinjuan 1880e280184Szhanglinjuan if (l2cache.isDefined) { 1890e280184Szhanglinjuan val l2 = l2cache.get.module 190233f2ad0Szhanglinjuan io.l2_hint := l2.io.l2_hint 1910e280184Szhanglinjuan l2.io.debugTopDown.robHeadPaddr := DontCare 192233f2ad0Szhanglinjuan l2.io.hartId := io.hartId.fromTile 193233f2ad0Szhanglinjuan l2.io.debugTopDown.robHeadPaddr := io.debugTopDown.robHeadPaddr 194233f2ad0Szhanglinjuan l2.io.debugTopDown.robTrueCommit := io.debugTopDown.robTrueCommit 195233f2ad0Szhanglinjuan io.debugTopDown.l2MissMatch := l2.io.debugTopDown.l2MissMatch 196aee6a6d1SYanqin Li 197aee6a6d1SYanqin Li /* l2 tlb */ 198233f2ad0Szhanglinjuan io.l2_tlb_req.req.bits := DontCare 199233f2ad0Szhanglinjuan io.l2_tlb_req.req.valid := l2.io.l2_tlb_req.req.valid 200233f2ad0Szhanglinjuan io.l2_tlb_req.resp.ready := l2.io.l2_tlb_req.resp.ready 201233f2ad0Szhanglinjuan io.l2_tlb_req.req.bits.vaddr := l2.io.l2_tlb_req.req.bits.vaddr 202233f2ad0Szhanglinjuan io.l2_tlb_req.req.bits.cmd := l2.io.l2_tlb_req.req.bits.cmd 203233f2ad0Szhanglinjuan io.l2_tlb_req.req.bits.size := l2.io.l2_tlb_req.req.bits.size 204233f2ad0Szhanglinjuan io.l2_tlb_req.req.bits.kill := l2.io.l2_tlb_req.req.bits.kill 205233f2ad0Szhanglinjuan io.l2_tlb_req.req.bits.no_translate := l2.io.l2_tlb_req.req.bits.no_translate 206233f2ad0Szhanglinjuan io.l2_tlb_req.req_kill := l2.io.l2_tlb_req.req_kill 207*8bb30a57SJiru Sun io.perfEvents := l2.io_perf 208*8bb30a57SJiru Sun 209*8bb30a57SJiru Sun val allPerfEvents = l2.getPerfEvents 210*8bb30a57SJiru Sun if (printEventCoding) { 211*8bb30a57SJiru Sun for (((name, inc), i) <- allPerfEvents.zipWithIndex) { 212*8bb30a57SJiru Sun println("L2 Cache perfEvents Set", name, inc, i) 213*8bb30a57SJiru Sun } 214*8bb30a57SJiru Sun } 215*8bb30a57SJiru Sun 216233f2ad0Szhanglinjuan l2.io.l2_tlb_req.resp.valid := io.l2_tlb_req.resp.valid 217233f2ad0Szhanglinjuan l2.io.l2_tlb_req.req.ready := io.l2_tlb_req.req.ready 218233f2ad0Szhanglinjuan l2.io.l2_tlb_req.resp.bits.paddr.head := io.l2_tlb_req.resp.bits.paddr.head 219233f2ad0Szhanglinjuan l2.io.l2_tlb_req.resp.bits.pbmt := io.l2_tlb_req.resp.bits.pbmt.head 220233f2ad0Szhanglinjuan l2.io.l2_tlb_req.resp.bits.miss := io.l2_tlb_req.resp.bits.miss 22146e9ee74SHaoyuan Feng l2.io.l2_tlb_req.resp.bits.excp.head.gpf := io.l2_tlb_req.resp.bits.excp.head.gpf 22246e9ee74SHaoyuan Feng l2.io.l2_tlb_req.resp.bits.excp.head.pf := io.l2_tlb_req.resp.bits.excp.head.pf 22346e9ee74SHaoyuan Feng l2.io.l2_tlb_req.resp.bits.excp.head.af := io.l2_tlb_req.resp.bits.excp.head.af 224233f2ad0Szhanglinjuan l2.io.l2_tlb_req.pmp_resp.ld := io.l2_pmp_resp.ld 225233f2ad0Szhanglinjuan l2.io.l2_tlb_req.pmp_resp.st := io.l2_pmp_resp.st 226233f2ad0Szhanglinjuan l2.io.l2_tlb_req.pmp_resp.instr := io.l2_pmp_resp.instr 227233f2ad0Szhanglinjuan l2.io.l2_tlb_req.pmp_resp.mmio := io.l2_pmp_resp.mmio 228233f2ad0Szhanglinjuan l2.io.l2_tlb_req.pmp_resp.atomic := io.l2_pmp_resp.atomic 2290e280184Szhanglinjuan l2cache.get match { 2300e280184Szhanglinjuan case l2cache: TL2CHICoupledL2 => 2310e280184Szhanglinjuan val l2 = l2cache.module 232233f2ad0Szhanglinjuan l2.io_nodeID := io.nodeID.get 233233f2ad0Szhanglinjuan io.chi.get <> l2.io_chi 2340e280184Szhanglinjuan case l2cache: TL2TLCoupledL2 => 2350e280184Szhanglinjuan } 2364e12f40bSzhanglinjuan } else { 237233f2ad0Szhanglinjuan io.l2_hint := 0.U.asTypeOf(io.l2_hint) 238233f2ad0Szhanglinjuan io.debugTopDown <> DontCare 239aee6a6d1SYanqin Li 240233f2ad0Szhanglinjuan io.l2_tlb_req.req.valid := false.B 241233f2ad0Szhanglinjuan io.l2_tlb_req.req.bits := DontCare 242233f2ad0Szhanglinjuan io.l2_tlb_req.req_kill := DontCare 243233f2ad0Szhanglinjuan io.l2_tlb_req.resp.ready := true.B 244*8bb30a57SJiru Sun io.perfEvents := DontCare 245233f2ad0Szhanglinjuan } 2464e12f40bSzhanglinjuan } 247f55cdaabSzhanglinjuan 248233f2ad0Szhanglinjuan lazy val module = new Imp(this) 249233f2ad0Szhanglinjuan} 250233f2ad0Szhanglinjuan 251233f2ad0Szhanglinjuanclass L2Top()(implicit p: Parameters) extends LazyModule 252233f2ad0Szhanglinjuan with HasXSParameter 253233f2ad0Szhanglinjuan with HasSoCParameter { 254233f2ad0Szhanglinjuan 255233f2ad0Szhanglinjuan override def shouldBeInlined: Boolean = false 256233f2ad0Szhanglinjuan 257233f2ad0Szhanglinjuan val inner = LazyModule(new L2TopInlined()) 258233f2ad0Szhanglinjuan 259233f2ad0Szhanglinjuan class Imp(wrapper: LazyModule) extends LazyModuleImp(wrapper) { 260233f2ad0Szhanglinjuan val io = IO(inner.module.io.cloneType) 261233f2ad0Szhanglinjuan val reset_core = IO(Output(Reset())) 262233f2ad0Szhanglinjuan io <> inner.module.io 263233f2ad0Szhanglinjuan 264f55cdaabSzhanglinjuan if (debugOpts.ResetGen) { 265233f2ad0Szhanglinjuan ResetGen(ResetGenNode(Seq( 266233f2ad0Szhanglinjuan CellNode(reset_core), 267233f2ad0Szhanglinjuan ModuleNode(inner.module) 268233f2ad0Szhanglinjuan )), reset, sim = false) 269f55cdaabSzhanglinjuan } else { 270f55cdaabSzhanglinjuan reset_core := DontCare 271f55cdaabSzhanglinjuan } 2724e12f40bSzhanglinjuan } 2734e12f40bSzhanglinjuan 274233f2ad0Szhanglinjuan lazy val module = new Imp(this) 2754e12f40bSzhanglinjuan}