14e12f40bSzhanglinjuan/*************************************************************************************** 24e12f40bSzhanglinjuan * Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 34e12f40bSzhanglinjuan * Copyright (c) 2020-2021 Peng Cheng Laboratory 44e12f40bSzhanglinjuan * 54e12f40bSzhanglinjuan * XiangShan is licensed under Mulan PSL v2. 64e12f40bSzhanglinjuan * You can use this software according to the terms and conditions of the Mulan PSL v2. 74e12f40bSzhanglinjuan * You may obtain a copy of Mulan PSL v2 at: 84e12f40bSzhanglinjuan * http://license.coscl.org.cn/MulanPSL2 94e12f40bSzhanglinjuan * 104e12f40bSzhanglinjuan * THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 114e12f40bSzhanglinjuan * EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 124e12f40bSzhanglinjuan * MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 134e12f40bSzhanglinjuan * 144e12f40bSzhanglinjuan * See the Mulan PSL v2 for more details. 154e12f40bSzhanglinjuan ***************************************************************************************/ 164e12f40bSzhanglinjuan 174e12f40bSzhanglinjuanpackage xiangshan 184e12f40bSzhanglinjuan 194e12f40bSzhanglinjuanimport chisel3._ 204b40434cSzhanglinjuanimport chisel3.util._ 214e12f40bSzhanglinjuanimport org.chipsalliance.cde.config._ 224e12f40bSzhanglinjuanimport chisel3.util.{Valid, ValidIO} 234e12f40bSzhanglinjuanimport freechips.rocketchip.diplomacy._ 244e12f40bSzhanglinjuanimport freechips.rocketchip.interrupts._ 254daa5bf3SYangyu Chenimport freechips.rocketchip.tile.{BusErrorUnit, BusErrorUnitParams, BusErrors, MaxHartIdBits} 264e12f40bSzhanglinjuanimport freechips.rocketchip.tilelink._ 27*881e32f5SZifei Zhangimport coupledL2.{EnableCHI, L2ParamKey, PrefetchCtrlFromCore} 284b40434cSzhanglinjuanimport coupledL2.tl2tl.TL2TLCoupledL2 29*881e32f5SZifei Zhangimport coupledL2.tl2chi.{CHIIssue, PortIO, TL2CHICoupledL2} 304b40434cSzhanglinjuanimport huancun.BankBitsKey 3177733a7bSYanqin Liimport system.HasSoCParameter 324e12f40bSzhanglinjuanimport top.BusPerfMonitor 33bb2f3f51STang Haojinimport utility._ 34aee6a6d1SYanqin Liimport xiangshan.cache.mmu.TlbRequestIO 350d3835a5SYanqin Liimport xiangshan.backend.fu.PMPRespBundle 363ad9f3ddSchengguanghuiimport xiangshan.backend.trace.{Itype, TraceCoreInterface} 374e12f40bSzhanglinjuan 384e12f40bSzhanglinjuanclass L1BusErrorUnitInfo(implicit val p: Parameters) extends Bundle with HasSoCParameter { 394e12f40bSzhanglinjuan val ecc_error = Valid(UInt(soc.PAddrBits.W)) 404e12f40bSzhanglinjuan} 414e12f40bSzhanglinjuan 424e12f40bSzhanglinjuanclass XSL1BusErrors()(implicit val p: Parameters) extends BusErrors { 434e12f40bSzhanglinjuan val icache = new L1BusErrorUnitInfo 444e12f40bSzhanglinjuan val dcache = new L1BusErrorUnitInfo 454e12f40bSzhanglinjuan val l2 = new L1BusErrorUnitInfo 464e12f40bSzhanglinjuan 474e12f40bSzhanglinjuan override def toErrorList: List[Option[(ValidIO[UInt], String, String)]] = 484e12f40bSzhanglinjuan List( 494e12f40bSzhanglinjuan Some(icache.ecc_error, "I_ECC", "Icache ecc error"), 504e12f40bSzhanglinjuan Some(dcache.ecc_error, "D_ECC", "Dcache ecc error"), 514e12f40bSzhanglinjuan Some(l2.ecc_error, "L2_ECC", "L2Cache ecc error") 524e12f40bSzhanglinjuan ) 534e12f40bSzhanglinjuan} 544e12f40bSzhanglinjuan 554e12f40bSzhanglinjuan/** 564e12f40bSzhanglinjuan * L2Top contains everything between Core and XSTile-IO 574e12f40bSzhanglinjuan */ 58233f2ad0Szhanglinjuanclass L2TopInlined()(implicit p: Parameters) extends LazyModule 594e12f40bSzhanglinjuan with HasXSParameter 604e12f40bSzhanglinjuan with HasSoCParameter 614e12f40bSzhanglinjuan{ 62233f2ad0Szhanglinjuan override def shouldBeInlined: Boolean = true 63233f2ad0Szhanglinjuan 644e12f40bSzhanglinjuan def chainBuffer(depth: Int, n: String): (Seq[LazyModule], TLNode) = { 654e12f40bSzhanglinjuan val buffers = Seq.fill(depth){ LazyModule(new TLBuffer()) } 664e12f40bSzhanglinjuan buffers.zipWithIndex.foreach{ case (b, i) => { 674e12f40bSzhanglinjuan b.suggestName(s"${n}_${i}") 684e12f40bSzhanglinjuan }} 694e12f40bSzhanglinjuan val node = buffers.map(_.node.asInstanceOf[TLNode]).reduce(_ :*=* _) 704e12f40bSzhanglinjuan (buffers, node) 714e12f40bSzhanglinjuan } 724b40434cSzhanglinjuan val enableL2 = coreParams.L2CacheParamsOpt.isDefined 734e12f40bSzhanglinjuan // =========== Components ============ 744e12f40bSzhanglinjuan val l1_xbar = TLXbar() 754e12f40bSzhanglinjuan val mmio_xbar = TLXbar() 764e12f40bSzhanglinjuan val mmio_port = TLIdentityNode() // to L3 774b40434cSzhanglinjuan val memory_port = if (enableCHI && enableL2) None else Some(TLIdentityNode()) 784e12f40bSzhanglinjuan val beu = LazyModule(new BusErrorUnit( 79bbe4506dSTang Haojin new XSL1BusErrors(), 80bbe4506dSTang Haojin BusErrorUnitParams(soc.BEURange.base, soc.BEURange.mask.toInt + 1) 814e12f40bSzhanglinjuan )) 824e12f40bSzhanglinjuan 834e12f40bSzhanglinjuan val i_mmio_port = TLTempNode() 846c106319Sxu_zh val icachectrl_port_opt = if(icacheParameters.cacheCtrlAddressOpt.nonEmpty) Option(TLTempNode()) else None 854e12f40bSzhanglinjuan val d_mmio_port = TLTempNode() 864e12f40bSzhanglinjuan 874e12f40bSzhanglinjuan val misc_l2_pmu = BusPerfMonitor(name = "Misc_L2", enable = !debugOpts.FPGAPlatform) // l1D & l1I & PTW 8878a8cd25Szhanglinjuan val l2_l3_pmu = BusPerfMonitor(name = "L2_L3", enable = !debugOpts.FPGAPlatform && !enableCHI, stat_latency = true) 89c20095f4SChen Xi val xbar_l2_buffer = TLBuffer() 904e12f40bSzhanglinjuan 914e12f40bSzhanglinjuan val enbale_tllog = !debugOpts.FPGAPlatform && debugOpts.AlwaysBasicDB 924e12f40bSzhanglinjuan val l1d_logger = TLLogger(s"L2_L1D_${coreParams.HartId}", enbale_tllog) 934e12f40bSzhanglinjuan val l1i_logger = TLLogger(s"L2_L1I_${coreParams.HartId}", enbale_tllog) 944e12f40bSzhanglinjuan val ptw_logger = TLLogger(s"L2_PTW_${coreParams.HartId}", enbale_tllog) 95c20095f4SChen Xi val ptw_to_l2_buffer = LazyModule(new TLBuffer) 96c20095f4SChen Xi val i_mmio_buffer = LazyModule(new TLBuffer) 974e12f40bSzhanglinjuan 984e12f40bSzhanglinjuan val clint_int_node = IntIdentityNode() 994e12f40bSzhanglinjuan val debug_int_node = IntIdentityNode() 1004e12f40bSzhanglinjuan val plic_int_node = IntIdentityNode() 1018bc90631SZehao Liu val nmi_int_node = IntIdentityNode() 1024e12f40bSzhanglinjuan 1034b40434cSzhanglinjuan println(s"enableCHI: ${enableCHI}") 1040e280184Szhanglinjuan val l2cache = if (enableL2) { 1050e280184Szhanglinjuan val config = new Config((_, _, _) => { 1064b40434cSzhanglinjuan case L2ParamKey => coreParams.L2CacheParamsOpt.get.copy( 1074daa5bf3SYangyu Chen hartId = p(XSCoreParamsKey).HartId, 1084e12f40bSzhanglinjuan FPGAPlatform = debugOpts.FPGAPlatform 1094e12f40bSzhanglinjuan ) 1100e280184Szhanglinjuan case EnableCHI => p(EnableCHI) 1111fc8b877Szhanglinjuan case CHIIssue => p(CHIIssue) 1124b40434cSzhanglinjuan case BankBitsKey => log2Ceil(coreParams.L2NBanks) 1134daa5bf3SYangyu Chen case MaxHartIdBits => p(MaxHartIdBits) 114bb2f3f51STang Haojin case LogUtilsOptionsKey => p(LogUtilsOptionsKey) 115bb2f3f51STang Haojin case PerfCounterOptionsKey => p(PerfCounterOptionsKey) 1160e280184Szhanglinjuan }) 1170e280184Szhanglinjuan if (enableCHI) Some(LazyModule(new TL2CHICoupledL2()(new Config(config)))) 1180e280184Szhanglinjuan else Some(LazyModule(new TL2TLCoupledL2()(new Config(config)))) 1194b40434cSzhanglinjuan } else None 1204e12f40bSzhanglinjuan val l2_binder = coreParams.L2CacheParamsOpt.map(_ => BankBinder(coreParams.L2NBanks, 64)) 1214e12f40bSzhanglinjuan 1224e12f40bSzhanglinjuan // =========== Connection ============ 1234e12f40bSzhanglinjuan // l2 to l2_binder, then to memory_port 1240e280184Szhanglinjuan l2cache match { 1250e280184Szhanglinjuan case Some(l2) => 1260e280184Szhanglinjuan l2_binder.get :*= l2.node :*= xbar_l2_buffer :*= l1_xbar :=* misc_l2_pmu 1270e280184Szhanglinjuan l2 match { 1280e280184Szhanglinjuan case l2: TL2TLCoupledL2 => 1290e280184Szhanglinjuan memory_port.get := l2_l3_pmu := TLClientsMerger() := TLXbar() :=* l2_binder.get 1300e280184Szhanglinjuan case l2: TL2CHICoupledL2 => 1310e280184Szhanglinjuan l2.managerNode := TLXbar() :=* l2_binder.get 1320e280184Szhanglinjuan l2.mmioNode := mmio_port 1334e12f40bSzhanglinjuan } 1344b40434cSzhanglinjuan case None => 1354b40434cSzhanglinjuan memory_port.get := l1_xbar 1364b40434cSzhanglinjuan } 1374b40434cSzhanglinjuan 1384e12f40bSzhanglinjuan mmio_xbar := TLBuffer.chainNode(2) := i_mmio_port 1394e12f40bSzhanglinjuan mmio_xbar := TLBuffer.chainNode(2) := d_mmio_port 1404e12f40bSzhanglinjuan beu.node := TLBuffer.chainNode(1) := mmio_xbar 1416c106319Sxu_zh if (icacheParameters.cacheCtrlAddressOpt.nonEmpty) { 1426c106319Sxu_zh icachectrl_port_opt.get := TLBuffer.chainNode(1) := mmio_xbar 14372dab974Scz4e } 1446c106319Sxu_zh 1456c106319Sxu_zh // filter out in-core addresses before sent to mmio_port 1466c106319Sxu_zh // Option[AddressSet] ++ Option[AddressSet] => List[AddressSet] 1476c106319Sxu_zh private def mmioFilters: Seq[AddressSet] = 1486c106319Sxu_zh (icacheParameters.cacheCtrlAddressOpt ++ dcacheParameters.cacheCtrlAddressOpt).toSeq 1496c106319Sxu_zh mmio_port := 1506c106319Sxu_zh TLFilter(TLFilter.mSubtract(mmioFilters)) := 1516c106319Sxu_zh TLBuffer() := 1526c106319Sxu_zh mmio_xbar 1536c106319Sxu_zh 154233f2ad0Szhanglinjuan class Imp(wrapper: LazyModule) extends LazyModuleImp(wrapper) { 155233f2ad0Szhanglinjuan val io = IO(new Bundle { 156233f2ad0Szhanglinjuan val beu_errors = Input(chiselTypeOf(beu.module.io.errors)) 157233f2ad0Szhanglinjuan val reset_vector = new Bundle { 1584e12f40bSzhanglinjuan val fromTile = Input(UInt(PAddrBits.W)) 1594e12f40bSzhanglinjuan val toCore = Output(UInt(PAddrBits.W)) 160233f2ad0Szhanglinjuan } 161233f2ad0Szhanglinjuan val hartId = new Bundle() { 1624e12f40bSzhanglinjuan val fromTile = Input(UInt(64.W)) 1634e12f40bSzhanglinjuan val toCore = Output(UInt(64.W)) 164233f2ad0Szhanglinjuan } 165233f2ad0Szhanglinjuan val cpu_halt = new Bundle() { 1664e12f40bSzhanglinjuan val fromCore = Input(Bool()) 1674e12f40bSzhanglinjuan val toTile = Output(Bool()) 168233f2ad0Szhanglinjuan } 16985a8d7caSZehao Liu val cpu_critical_error = new Bundle() { 17085a8d7caSZehao Liu val fromCore = Input(Bool()) 17185a8d7caSZehao Liu val toTile = Output(Bool()) 17285a8d7caSZehao Liu } 173233f2ad0Szhanglinjuan val hartIsInReset = new Bundle() { 174233f2ad0Szhanglinjuan val resetInFrontend = Input(Bool()) 175233f2ad0Szhanglinjuan val toTile = Output(Bool()) 176233f2ad0Szhanglinjuan } 177d288919fSchengguanghui val traceCoreInterface = new Bundle{ 178d288919fSchengguanghui val fromCore = Flipped(new TraceCoreInterface) 179d288919fSchengguanghui val toTile = new TraceCoreInterface 180d288919fSchengguanghui } 181233f2ad0Szhanglinjuan val debugTopDown = new Bundle() { 182aee6a6d1SYanqin Li val robTrueCommit = Input(UInt(64.W)) 1834e12f40bSzhanglinjuan val robHeadPaddr = Flipped(Valid(UInt(36.W))) 1844e12f40bSzhanglinjuan val l2MissMatch = Output(Bool()) 185233f2ad0Szhanglinjuan } 186e836c770SZhaoyang You val l2Miss = Output(Bool()) 187e836c770SZhaoyang You val l3Miss = new Bundle { 188e836c770SZhaoyang You val fromTile = Input(Bool()) 189e836c770SZhaoyang You val toCore = Output(Bool()) 190e836c770SZhaoyang You } 191233f2ad0Szhanglinjuan val chi = if (enableCHI) Some(new PortIO) else None 192233f2ad0Szhanglinjuan val nodeID = if (enableCHI) Some(Input(UInt(NodeIDWidth.W))) else None 193*881e32f5SZifei Zhang val pfCtrlFromCore = Input(new PrefetchCtrlFromCore) 194233f2ad0Szhanglinjuan val l2_tlb_req = new TlbRequestIO(nRespDups = 2) 195233f2ad0Szhanglinjuan val l2_pmp_resp = Flipped(new PMPRespBundle) 196233f2ad0Szhanglinjuan val l2_hint = ValidIO(new L2ToL1Hint()) 1978bb30a57SJiru Sun val perfEvents = Output(Vec(numPCntHc * coreParams.L2NBanks + 1, new PerfEvent)) 198b7a63495SNewPaulWalker val l2_flush_en = Input(Bool()) 199b7a63495SNewPaulWalker val l2_flush_done = Output(Bool()) 200233f2ad0Szhanglinjuan // val reset_core = IO(Output(Reset())) 2014e12f40bSzhanglinjuan }) 2024e12f40bSzhanglinjuan 2034e12f40bSzhanglinjuan val resetDelayN = Module(new DelayN(UInt(PAddrBits.W), 5)) 2044e12f40bSzhanglinjuan 2054aa305e9SMa-YX beu.module.io.errors.icache := io.beu_errors.icache 2064aa305e9SMa-YX beu.module.io.errors.dcache := io.beu_errors.dcache 207233f2ad0Szhanglinjuan resetDelayN.io.in := io.reset_vector.fromTile 208233f2ad0Szhanglinjuan io.reset_vector.toCore := resetDelayN.io.out 209233f2ad0Szhanglinjuan io.hartId.toCore := io.hartId.fromTile 210233f2ad0Szhanglinjuan io.cpu_halt.toTile := io.cpu_halt.fromCore 21185a8d7caSZehao Liu io.cpu_critical_error.toTile := io.cpu_critical_error.fromCore 212b7a63495SNewPaulWalker io.l2_flush_done := true.B //TODO connect CoupleedL2 213e836c770SZhaoyang You io.l3Miss.toCore := io.l3Miss.fromTile 2143ad9f3ddSchengguanghui // trace interface 2153ad9f3ddSchengguanghui val traceToTile = io.traceCoreInterface.toTile 2163ad9f3ddSchengguanghui val traceFromCore = io.traceCoreInterface.fromCore 2173ad9f3ddSchengguanghui traceFromCore.fromEncoder := RegNext(traceToTile.fromEncoder) 2183ad9f3ddSchengguanghui traceToTile.toEncoder.trap := RegEnable( 2193ad9f3ddSchengguanghui traceFromCore.toEncoder.trap, 2203ad9f3ddSchengguanghui traceFromCore.toEncoder.groups(0).valid && Itype.isTrap(traceFromCore.toEncoder.groups(0).bits.itype) 2213ad9f3ddSchengguanghui ) 2223ad9f3ddSchengguanghui traceToTile.toEncoder.priv := RegEnable( 2233ad9f3ddSchengguanghui traceFromCore.toEncoder.priv, 2243ad9f3ddSchengguanghui traceFromCore.toEncoder.groups(0).valid 2253ad9f3ddSchengguanghui ) 2263ad9f3ddSchengguanghui (0 until TraceGroupNum).foreach{ i => 2273ad9f3ddSchengguanghui traceToTile.toEncoder.groups(i).valid := RegNext(traceFromCore.toEncoder.groups(i).valid) 2283ad9f3ddSchengguanghui traceToTile.toEncoder.groups(i).bits.iretire := RegNext(traceFromCore.toEncoder.groups(i).bits.iretire) 2293ad9f3ddSchengguanghui traceToTile.toEncoder.groups(i).bits.itype := RegNext(traceFromCore.toEncoder.groups(i).bits.itype) 2303ad9f3ddSchengguanghui traceToTile.toEncoder.groups(i).bits.ilastsize := RegEnable( 2313ad9f3ddSchengguanghui traceFromCore.toEncoder.groups(i).bits.ilastsize, 2323ad9f3ddSchengguanghui traceFromCore.toEncoder.groups(i).valid 2333ad9f3ddSchengguanghui ) 2343ad9f3ddSchengguanghui traceToTile.toEncoder.groups(i).bits.iaddr := RegEnable( 2353ad9f3ddSchengguanghui traceFromCore.toEncoder.groups(i).bits.iaddr, 2363ad9f3ddSchengguanghui traceFromCore.toEncoder.groups(i).valid 2373ad9f3ddSchengguanghui ) 2383ad9f3ddSchengguanghui } 2393ad9f3ddSchengguanghui 240233f2ad0Szhanglinjuan dontTouch(io.hartId) 241233f2ad0Szhanglinjuan dontTouch(io.cpu_halt) 24285a8d7caSZehao Liu dontTouch(io.cpu_critical_error) 243233f2ad0Szhanglinjuan if (!io.chi.isEmpty) { dontTouch(io.chi.get) } 244233f2ad0Szhanglinjuan 245233f2ad0Szhanglinjuan val hartIsInReset = RegInit(true.B) 246233f2ad0Szhanglinjuan hartIsInReset := io.hartIsInReset.resetInFrontend || reset.asBool 247233f2ad0Szhanglinjuan io.hartIsInReset.toTile := hartIsInReset 2484e12f40bSzhanglinjuan 2490e280184Szhanglinjuan if (l2cache.isDefined) { 2500e280184Szhanglinjuan val l2 = l2cache.get.module 251*881e32f5SZifei Zhang 252*881e32f5SZifei Zhang l2.io.pfCtrlFromCore := io.pfCtrlFromCore 253233f2ad0Szhanglinjuan io.l2_hint := l2.io.l2_hint 2540e280184Szhanglinjuan l2.io.debugTopDown.robHeadPaddr := DontCare 255233f2ad0Szhanglinjuan l2.io.hartId := io.hartId.fromTile 256233f2ad0Szhanglinjuan l2.io.debugTopDown.robHeadPaddr := io.debugTopDown.robHeadPaddr 257233f2ad0Szhanglinjuan l2.io.debugTopDown.robTrueCommit := io.debugTopDown.robTrueCommit 258233f2ad0Szhanglinjuan io.debugTopDown.l2MissMatch := l2.io.debugTopDown.l2MissMatch 259e836c770SZhaoyang You io.l2Miss := l2.io.l2Miss 260aee6a6d1SYanqin Li 261aee6a6d1SYanqin Li /* l2 tlb */ 262233f2ad0Szhanglinjuan io.l2_tlb_req.req.bits := DontCare 263233f2ad0Szhanglinjuan io.l2_tlb_req.req.valid := l2.io.l2_tlb_req.req.valid 264233f2ad0Szhanglinjuan io.l2_tlb_req.resp.ready := l2.io.l2_tlb_req.resp.ready 265233f2ad0Szhanglinjuan io.l2_tlb_req.req.bits.vaddr := l2.io.l2_tlb_req.req.bits.vaddr 266233f2ad0Szhanglinjuan io.l2_tlb_req.req.bits.cmd := l2.io.l2_tlb_req.req.bits.cmd 267233f2ad0Szhanglinjuan io.l2_tlb_req.req.bits.size := l2.io.l2_tlb_req.req.bits.size 268233f2ad0Szhanglinjuan io.l2_tlb_req.req.bits.kill := l2.io.l2_tlb_req.req.bits.kill 269233f2ad0Szhanglinjuan io.l2_tlb_req.req.bits.no_translate := l2.io.l2_tlb_req.req.bits.no_translate 270233f2ad0Szhanglinjuan io.l2_tlb_req.req_kill := l2.io.l2_tlb_req.req_kill 2718bb30a57SJiru Sun io.perfEvents := l2.io_perf 2728bb30a57SJiru Sun 2738bb30a57SJiru Sun val allPerfEvents = l2.getPerfEvents 2748bb30a57SJiru Sun if (printEventCoding) { 2758bb30a57SJiru Sun for (((name, inc), i) <- allPerfEvents.zipWithIndex) { 2768bb30a57SJiru Sun println("L2 Cache perfEvents Set", name, inc, i) 2778bb30a57SJiru Sun } 2788bb30a57SJiru Sun } 2798bb30a57SJiru Sun 280233f2ad0Szhanglinjuan l2.io.l2_tlb_req.resp.valid := io.l2_tlb_req.resp.valid 281233f2ad0Szhanglinjuan l2.io.l2_tlb_req.req.ready := io.l2_tlb_req.req.ready 282233f2ad0Szhanglinjuan l2.io.l2_tlb_req.resp.bits.paddr.head := io.l2_tlb_req.resp.bits.paddr.head 283233f2ad0Szhanglinjuan l2.io.l2_tlb_req.resp.bits.pbmt := io.l2_tlb_req.resp.bits.pbmt.head 284233f2ad0Szhanglinjuan l2.io.l2_tlb_req.resp.bits.miss := io.l2_tlb_req.resp.bits.miss 28546e9ee74SHaoyuan Feng l2.io.l2_tlb_req.resp.bits.excp.head.gpf := io.l2_tlb_req.resp.bits.excp.head.gpf 28646e9ee74SHaoyuan Feng l2.io.l2_tlb_req.resp.bits.excp.head.pf := io.l2_tlb_req.resp.bits.excp.head.pf 28746e9ee74SHaoyuan Feng l2.io.l2_tlb_req.resp.bits.excp.head.af := io.l2_tlb_req.resp.bits.excp.head.af 288233f2ad0Szhanglinjuan l2.io.l2_tlb_req.pmp_resp.ld := io.l2_pmp_resp.ld 289233f2ad0Szhanglinjuan l2.io.l2_tlb_req.pmp_resp.st := io.l2_pmp_resp.st 290233f2ad0Szhanglinjuan l2.io.l2_tlb_req.pmp_resp.instr := io.l2_pmp_resp.instr 291233f2ad0Szhanglinjuan l2.io.l2_tlb_req.pmp_resp.mmio := io.l2_pmp_resp.mmio 292233f2ad0Szhanglinjuan l2.io.l2_tlb_req.pmp_resp.atomic := io.l2_pmp_resp.atomic 2930e280184Szhanglinjuan l2cache.get match { 2940e280184Szhanglinjuan case l2cache: TL2CHICoupledL2 => 2950e280184Szhanglinjuan val l2 = l2cache.module 296233f2ad0Szhanglinjuan l2.io_nodeID := io.nodeID.get 297233f2ad0Szhanglinjuan io.chi.get <> l2.io_chi 2980e280184Szhanglinjuan case l2cache: TL2TLCoupledL2 => 2990e280184Szhanglinjuan } 3004aa305e9SMa-YX 3014aa305e9SMa-YX beu.module.io.errors.l2.ecc_error.valid := l2.io.error.valid 3024aa305e9SMa-YX beu.module.io.errors.l2.ecc_error.bits := l2.io.error.address 3034e12f40bSzhanglinjuan } else { 304233f2ad0Szhanglinjuan io.l2_hint := 0.U.asTypeOf(io.l2_hint) 305233f2ad0Szhanglinjuan io.debugTopDown <> DontCare 306e836c770SZhaoyang You io.l2Miss := false.B 307aee6a6d1SYanqin Li 308233f2ad0Szhanglinjuan io.l2_tlb_req.req.valid := false.B 309233f2ad0Szhanglinjuan io.l2_tlb_req.req.bits := DontCare 310233f2ad0Szhanglinjuan io.l2_tlb_req.req_kill := DontCare 311233f2ad0Szhanglinjuan io.l2_tlb_req.resp.ready := true.B 3128bb30a57SJiru Sun io.perfEvents := DontCare 3134aa305e9SMa-YX 3144aa305e9SMa-YX beu.module.io.errors.l2 := 0.U.asTypeOf(beu.module.io.errors.l2) 315233f2ad0Szhanglinjuan } 3164e12f40bSzhanglinjuan } 317f55cdaabSzhanglinjuan 318233f2ad0Szhanglinjuan lazy val module = new Imp(this) 319233f2ad0Szhanglinjuan} 320233f2ad0Szhanglinjuan 321233f2ad0Szhanglinjuanclass L2Top()(implicit p: Parameters) extends LazyModule 322233f2ad0Szhanglinjuan with HasXSParameter 323233f2ad0Szhanglinjuan with HasSoCParameter { 324233f2ad0Szhanglinjuan 325233f2ad0Szhanglinjuan override def shouldBeInlined: Boolean = false 326233f2ad0Szhanglinjuan 327233f2ad0Szhanglinjuan val inner = LazyModule(new L2TopInlined()) 328233f2ad0Szhanglinjuan 329233f2ad0Szhanglinjuan class Imp(wrapper: LazyModule) extends LazyModuleImp(wrapper) { 330233f2ad0Szhanglinjuan val io = IO(inner.module.io.cloneType) 331233f2ad0Szhanglinjuan val reset_core = IO(Output(Reset())) 332233f2ad0Szhanglinjuan io <> inner.module.io 333233f2ad0Szhanglinjuan 334f55cdaabSzhanglinjuan if (debugOpts.ResetGen) { 335233f2ad0Szhanglinjuan ResetGen(ResetGenNode(Seq( 336233f2ad0Szhanglinjuan CellNode(reset_core), 337233f2ad0Szhanglinjuan ModuleNode(inner.module) 338233f2ad0Szhanglinjuan )), reset, sim = false) 339f55cdaabSzhanglinjuan } else { 340f55cdaabSzhanglinjuan reset_core := DontCare 341f55cdaabSzhanglinjuan } 3424e12f40bSzhanglinjuan } 3434e12f40bSzhanglinjuan 344233f2ad0Szhanglinjuan lazy val module = new Imp(this) 3454e12f40bSzhanglinjuan} 346