1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan 18 19import chisel3._ 20import chisel3.util._ 21import xiangshan.backend.rob.RobPtr 22import xiangshan.backend.CtrlToFtqIO 23import xiangshan.backend.decode.{ImmUnion, XDecode} 24import xiangshan.mem.{LqPtr, SqPtr} 25import xiangshan.frontend.PreDecodeInfo 26import xiangshan.frontend.HasBPUParameter 27import xiangshan.frontend.{AllFoldedHistories, CircularGlobalHistory, GlobalHistory, ShiftingGlobalHistory} 28import xiangshan.frontend.RASEntry 29import xiangshan.frontend.BPUCtrl 30import xiangshan.frontend.FtqPtr 31import xiangshan.frontend.CGHPtr 32import xiangshan.frontend.FtqRead 33import xiangshan.frontend.FtqToCtrlIO 34import xiangshan.cache.HasDCacheParameters 35import utils._ 36import utility._ 37 38import scala.math.max 39import org.chipsalliance.cde.config.Parameters 40import chisel3.util.BitPat.bitPatToUInt 41import xiangshan.backend.exu.ExuConfig 42import xiangshan.backend.fu.PMPEntry 43import xiangshan.frontend.Ftq_Redirect_SRAMEntry 44import xiangshan.frontend.AllFoldedHistories 45import xiangshan.frontend.AllAheadFoldedHistoryOldestBits 46import xiangshan.frontend.RASPtr 47 48class ValidUndirectioned[T <: Data](gen: T) extends Bundle { 49 val valid = Bool() 50 val bits = gen.cloneType.asInstanceOf[T] 51 52} 53 54object ValidUndirectioned { 55 def apply[T <: Data](gen: T) = { 56 new ValidUndirectioned[T](gen) 57 } 58} 59 60object RSFeedbackType { 61 val lrqFull = 0.U(3.W) 62 val tlbMiss = 1.U(3.W) 63 val mshrFull = 2.U(3.W) 64 val dataInvalid = 3.U(3.W) 65 val bankConflict = 4.U(3.W) 66 val ldVioCheckRedo = 5.U(3.W) 67 val feedbackInvalid = 7.U(3.W) 68 69 val allTypes = 8 70 def apply() = UInt(3.W) 71} 72 73class PredictorAnswer(implicit p: Parameters) extends XSBundle { 74 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 75 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 76 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 77} 78 79class CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 80 // from backend 81 val pc = UInt(VAddrBits.W) 82 // frontend -> backend -> frontend 83 val pd = new PreDecodeInfo 84 val ssp = UInt(log2Up(RasSize).W) 85 val sctr = UInt(log2Up(RasCtrSize).W) 86 val TOSW = new RASPtr 87 val TOSR = new RASPtr 88 val NOS = new RASPtr 89 val topAddr = UInt(VAddrBits.W) 90 // val hist = new ShiftingGlobalHistory 91 val folded_hist = new AllFoldedHistories(foldedGHistInfos) 92 val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos) 93 val lastBrNumOH = UInt((numBr+1).W) 94 val ghr = UInt(UbtbGHRLength.W) 95 val histPtr = new CGHPtr 96 val specCnt = Vec(numBr, UInt(10.W)) 97 // need pipeline update 98 val br_hit = Bool() // if in ftb entry 99 val jr_hit = Bool() // if in ftb entry 100 val sc_hit = Bool() // if used in ftb entry, invalid if !br_hit 101 val predTaken = Bool() 102 val target = UInt(VAddrBits.W) 103 val taken = Bool() 104 val isMisPred = Bool() 105 val shift = UInt((log2Ceil(numBr)+1).W) 106 val addIntoHist = Bool() 107 108 def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = { 109 // this.hist := entry.ghist 110 this.folded_hist := entry.folded_hist 111 this.lastBrNumOH := entry.lastBrNumOH 112 this.afhob := entry.afhob 113 this.histPtr := entry.histPtr 114 this.ssp := entry.ssp 115 this.sctr := entry.sctr 116 this.TOSW := entry.TOSW 117 this.TOSR := entry.TOSR 118 this.NOS := entry.NOS 119 this.topAddr := entry.topAddr 120 this 121 } 122} 123 124// Dequeue DecodeWidth insts from Ibuffer 125class CtrlFlow(implicit p: Parameters) extends XSBundle { 126 val instr = UInt(32.W) 127 val pc = UInt(VAddrBits.W) 128 val foldpc = UInt(MemPredPCWidth.W) 129 val exceptionVec = ExceptionVec() 130 val trigger = new TriggerCf 131 val pd = new PreDecodeInfo 132 val pred_taken = Bool() 133 val crossPageIPFFix = Bool() 134 val storeSetHit = Bool() // inst has been allocated an store set 135 val waitForRobIdx = new RobPtr // store set predicted previous store robIdx 136 // Load wait is needed 137 // load inst will not be executed until former store (predicted by mdp) addr calcuated 138 val loadWaitBit = Bool() 139 // If (loadWaitBit && loadWaitStrict), strict load wait is needed 140 // load inst will not be executed until ALL former store addr calcuated 141 val loadWaitStrict = Bool() 142 val ssid = UInt(SSIDWidth.W) 143 val ftqPtr = new FtqPtr 144 val ftqOffset = UInt(log2Up(PredictWidth).W) 145} 146 147 148class FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 149 val isAddSub = Bool() // swap23 150 val typeTagIn = UInt(1.W) 151 val typeTagOut = UInt(1.W) 152 val fromInt = Bool() 153 val wflags = Bool() 154 val fpWen = Bool() 155 val fmaCmd = UInt(2.W) 156 val div = Bool() 157 val sqrt = Bool() 158 val fcvt = Bool() 159 val typ = UInt(2.W) 160 val fmt = UInt(2.W) 161 val ren3 = Bool() //TODO: remove SrcType.fp 162 val rm = UInt(3.W) 163} 164 165// Decode DecodeWidth insts at Decode Stage 166class CtrlSignals(implicit p: Parameters) extends XSBundle { 167 val debug_globalID = UInt(XLEN.W) 168 val srcType = Vec(3, SrcType()) 169 val lsrc = Vec(3, UInt(5.W)) 170 val ldest = UInt(5.W) 171 val fuType = FuType() 172 val fuOpType = FuOpType() 173 val rfWen = Bool() 174 val fpWen = Bool() 175 val isXSTrap = Bool() 176 val noSpecExec = Bool() // wait forward 177 val blockBackward = Bool() // block backward 178 val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 179 val selImm = SelImm() 180 val imm = UInt(ImmUnion.maxLen.W) 181 val commitType = CommitType() 182 val fpu = new FPUCtrlSignals 183 val isMove = Bool() 184 val singleStep = Bool() 185 // This inst will flush all the pipe when it is the oldest inst in ROB, 186 // then replay from this inst itself 187 val replayInst = Bool() 188 189 private def allSignals = srcType ++ Seq(fuType, fuOpType, rfWen, fpWen, 190 isXSTrap, noSpecExec, blockBackward, flushPipe, selImm) 191 192 def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = { 193 val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table) 194 allSignals zip decoder foreach { case (s, d) => s := d } 195 commitType := DontCare 196 this 197 } 198 199 def decode(bit: List[BitPat]): CtrlSignals = { 200 allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d } 201 this 202 } 203 204 def isWFI: Bool = fuType === FuType.csr && fuOpType === CSROpType.wfi 205 def isSoftPrefetch: Bool = { 206 fuType === FuType.alu && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U 207 } 208} 209 210class CfCtrl(implicit p: Parameters) extends XSBundle { 211 val cf = new CtrlFlow 212 val ctrl = new CtrlSignals 213} 214 215class PerfDebugInfo(implicit p: Parameters) extends XSBundle { 216 val eliminatedMove = Bool() 217 // val fetchTime = UInt(XLEN.W) 218 val renameTime = UInt(XLEN.W) 219 val dispatchTime = UInt(XLEN.W) 220 val enqRsTime = UInt(XLEN.W) 221 val selectTime = UInt(XLEN.W) 222 val issueTime = UInt(XLEN.W) 223 val writebackTime = UInt(XLEN.W) 224 // val commitTime = UInt(XLEN.W) 225 val runahead_checkpoint_id = UInt(XLEN.W) 226 val tlbFirstReqTime = UInt(XLEN.W) 227 val tlbRespTime = UInt(XLEN.W) // when getting hit result (including delay in L2TLB hit) 228} 229 230// Separate LSQ 231class LSIdx(implicit p: Parameters) extends XSBundle { 232 val lqIdx = new LqPtr 233 val sqIdx = new SqPtr 234} 235 236// CfCtrl -> MicroOp at Rename Stage 237class MicroOp(implicit p: Parameters) extends CfCtrl { 238 val srcState = Vec(3, SrcState()) 239 val psrc = Vec(3, UInt(PhyRegIdxWidth.W)) 240 val pdest = UInt(PhyRegIdxWidth.W) 241 val robIdx = new RobPtr 242 val lqIdx = new LqPtr 243 val sqIdx = new SqPtr 244 val eliminatedMove = Bool() 245 val snapshot = Bool() 246 val debugInfo = new PerfDebugInfo 247 def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = { 248 val stateReady = srcState(index) === SrcState.rdy || ignoreState.B 249 val readReg = if (isFp) { 250 ctrl.srcType(index) === SrcType.fp 251 } else { 252 ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U 253 } 254 readReg && stateReady 255 } 256 def srcIsReady: Vec[Bool] = { 257 VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy }) 258 } 259 def clearExceptions( 260 exceptionBits: Seq[Int] = Seq(), 261 flushPipe: Boolean = false, 262 replayInst: Boolean = false 263 ): MicroOp = { 264 cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B) 265 if (!flushPipe) { ctrl.flushPipe := false.B } 266 if (!replayInst) { ctrl.replayInst := false.B } 267 this 268 } 269 // Assume only the LUI instruction is decoded with IMM_U in ALU. 270 def isLUI: Bool = ctrl.selImm === SelImm.IMM_U && ctrl.fuType === FuType.alu 271 // This MicroOp is used to wakeup another uop (the successor: (psrc, srcType). 272 def wakeup(successor: Seq[(UInt, UInt)], exuCfg: ExuConfig): Seq[(Bool, Bool)] = { 273 successor.map{ case (src, srcType) => 274 val pdestMatch = pdest === src 275 // For state: no need to check whether src is x0/imm/pc because they are always ready. 276 val rfStateMatch = if (exuCfg.readIntRf) ctrl.rfWen else false.B 277 val fpMatch = if (exuCfg.readFpRf) ctrl.fpWen else false.B 278 val bothIntFp = exuCfg.readIntRf && exuCfg.readFpRf 279 val bothStateMatch = Mux(SrcType.regIsFp(srcType), fpMatch, rfStateMatch) 280 val stateCond = pdestMatch && (if (bothIntFp) bothStateMatch else rfStateMatch || fpMatch) 281 // For data: types are matched and int pdest is not $zero. 282 val rfDataMatch = if (exuCfg.readIntRf) ctrl.rfWen && src =/= 0.U else false.B 283 val dataCond = pdestMatch && (rfDataMatch && SrcType.isReg(srcType) || fpMatch && SrcType.isFp(srcType)) 284 (stateCond, dataCond) 285 } 286 } 287 // This MicroOp is used to wakeup another uop (the successor: MicroOp). 288 def wakeup(successor: MicroOp, exuCfg: ExuConfig): Seq[(Bool, Bool)] = { 289 wakeup(successor.psrc.zip(successor.ctrl.srcType), exuCfg) 290 } 291 def isJump: Bool = FuType.isJumpExu(ctrl.fuType) 292} 293 294class XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle { 295 val uop = new MicroOp 296} 297 298class MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp { 299 val flag = UInt(1.W) 300} 301 302class Redirect(implicit p: Parameters) extends XSBundle { 303 val isRVC = Bool() 304 val robIdx = new RobPtr 305 val ftqIdx = new FtqPtr 306 val ftqOffset = UInt(log2Up(PredictWidth).W) 307 val level = RedirectLevel() 308 val interrupt = Bool() 309 val cfiUpdate = new CfiUpdateInfo 310 311 val stFtqIdx = new FtqPtr // for load violation predict 312 val stFtqOffset = UInt(log2Up(PredictWidth).W) 313 314 val debug_runahead_checkpoint_id = UInt(64.W) 315 val debugIsCtrl = Bool() 316 val debugIsMemVio = Bool() 317 318 // def isUnconditional() = RedirectLevel.isUnconditional(level) 319 def flushItself() = RedirectLevel.flushItself(level) 320 // def isException() = RedirectLevel.isException(level) 321} 322 323class Dp1ToDp2IO(implicit p: Parameters) extends XSBundle { 324 val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 325 val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp)) 326 val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp)) 327} 328 329class ResetPregStateReq(implicit p: Parameters) extends XSBundle { 330 // NOTE: set isInt and isFp both to 'false' when invalid 331 val isInt = Bool() 332 val isFp = Bool() 333 val preg = UInt(PhyRegIdxWidth.W) 334} 335 336class DebugBundle(implicit p: Parameters) extends XSBundle { 337 val isMMIO = Bool() 338 val isPerfCnt = Bool() 339 val paddr = UInt(PAddrBits.W) 340 val vaddr = UInt(VAddrBits.W) 341 /* add L/S inst info in EXU */ 342 // val L1toL2TlbLatency = UInt(XLEN.W) 343 // val levelTlbHit = UInt(2.W) 344} 345 346class ExuInput(implicit p: Parameters) extends XSBundleWithMicroOp { 347 val src = Vec(3, UInt(XLEN.W)) 348} 349 350class ExuOutput(implicit p: Parameters) extends XSBundleWithMicroOp { 351 val data = UInt(XLEN.W) 352 val fflags = UInt(5.W) 353 val redirectValid = Bool() 354 val redirect = new Redirect 355 val debug = new DebugBundle 356} 357 358class ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 359 val mtip = Input(Bool()) 360 val msip = Input(Bool()) 361 val meip = Input(Bool()) 362 val seip = Input(Bool()) 363 val debug = Input(Bool()) 364} 365 366class CSRSpecialIO(implicit p: Parameters) extends XSBundle { 367 val exception = Flipped(ValidIO(new MicroOp)) 368 val isInterrupt = Input(Bool()) 369 val memExceptionVAddr = Input(UInt(VAddrBits.W)) 370 val trapTarget = Output(UInt(VAddrBits.W)) 371 val externalInterrupt = new ExternalInterruptIO 372 val interrupt = Output(Bool()) 373} 374 375class ExceptionInfo(implicit p: Parameters) extends XSBundleWithMicroOp { 376 val isInterrupt = Bool() 377} 378 379class RobCommitInfo(implicit p: Parameters) extends XSBundle { 380 val ldest = UInt(5.W) 381 val rfWen = Bool() 382 val fpWen = Bool() 383 val wflags = Bool() 384 val commitType = CommitType() 385 val pdest = UInt(PhyRegIdxWidth.W) 386 val ftqIdx = new FtqPtr 387 val ftqOffset = UInt(log2Up(PredictWidth).W) 388 val isMove = Bool() 389 val isRVC = Bool() 390 391 // these should be optimized for synthesis verilog 392 val pc = UInt(VAddrBits.W) 393} 394 395class RobCommitIO(implicit p: Parameters) extends XSBundle { 396 val isCommit = Bool() 397 val commitValid = Vec(CommitWidth, Bool()) 398 399 val isWalk = Bool() 400 // valid bits optimized for walk 401 val walkValid = Vec(CommitWidth, Bool()) 402 403 val info = Vec(CommitWidth, new RobCommitInfo) 404 val robIdx = Vec(CommitWidth, new RobPtr) 405 406 def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR 407 def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR 408} 409 410class SnapshotPort(implicit p: Parameters) extends XSBundle { 411 val snptEnq = Bool() 412 val snptDeq = Bool() 413 val useSnpt = Bool() 414 val snptSelect = UInt(log2Ceil(RenameSnapshotNum).W) 415} 416 417class RSFeedback(implicit p: Parameters) extends XSBundle { 418 val rsIdx = UInt(log2Up(IssQueSize).W) 419 val hit = Bool() 420 val flushState = Bool() 421 val sourceType = RSFeedbackType() 422 val dataInvalidSqIdx = new SqPtr 423} 424 425class MemRSFeedbackIO(implicit p: Parameters) extends XSBundle { 426 // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO 427 // for instance: MemRSFeedbackIO()(updateP) 428 val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss 429 val feedbackFast = ValidIO(new RSFeedback()) // bank conflict 430 val rsIdx = Input(UInt(log2Up(IssQueSize).W)) 431 val isFirstIssue = Input(Bool()) 432} 433 434class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle { 435 // to backend end 436 val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 437 val stallReason = new StallReasonIO(DecodeWidth) 438 val fromFtq = new FtqToCtrlIO 439 // from backend 440 val toFtq = Flipped(new CtrlToFtqIO) 441} 442 443class SatpStruct(implicit p: Parameters) extends XSBundle { 444 val mode = UInt(4.W) 445 val asid = UInt(16.W) 446 val ppn = UInt(44.W) 447} 448 449class TlbSatpBundle(implicit p: Parameters) extends SatpStruct { 450 val changed = Bool() 451 452 def apply(satp_value: UInt): Unit = { 453 require(satp_value.getWidth == XLEN) 454 val sa = satp_value.asTypeOf(new SatpStruct) 455 mode := sa.mode 456 asid := sa.asid 457 ppn := Cat(0.U((44-PAddrBits).W), sa.ppn(PAddrBits-1, 0)).asUInt 458 changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush 459 } 460} 461 462class TlbCsrBundle(implicit p: Parameters) extends XSBundle { 463 val satp = new TlbSatpBundle() 464 val priv = new Bundle { 465 val mxr = Bool() 466 val sum = Bool() 467 val imode = UInt(2.W) 468 val dmode = UInt(2.W) 469 } 470 471 override def toPrintable: Printable = { 472 p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 473 p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 474 } 475} 476 477class SfenceBundle(implicit p: Parameters) extends XSBundle { 478 val valid = Bool() 479 val bits = new Bundle { 480 val rs1 = Bool() 481 val rs2 = Bool() 482 val addr = UInt(VAddrBits.W) 483 val asid = UInt(AsidLength.W) 484 val flushPipe = Bool() 485 } 486 487 override def toPrintable: Printable = { 488 p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}" 489 } 490} 491 492// Bundle for load violation predictor updating 493class MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 494 val valid = Bool() 495 496 // wait table update 497 val waddr = UInt(MemPredPCWidth.W) 498 val wdata = Bool() // true.B by default 499 500 // store set update 501 // by default, ldpc/stpc should be xor folded 502 val ldpc = UInt(MemPredPCWidth.W) 503 val stpc = UInt(MemPredPCWidth.W) 504} 505 506class CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 507 // Prefetcher 508 val l1I_pf_enable = Output(Bool()) 509 val l2_pf_enable = Output(Bool()) 510 val l1D_pf_enable = Output(Bool()) 511 val l1D_pf_train_on_hit = Output(Bool()) 512 val l1D_pf_enable_agt = Output(Bool()) 513 val l1D_pf_enable_pht = Output(Bool()) 514 val l1D_pf_active_threshold = Output(UInt(4.W)) 515 val l1D_pf_active_stride = Output(UInt(6.W)) 516 val l1D_pf_enable_stride = Output(Bool()) 517 val l2_pf_store_only = Output(Bool()) 518 // ICache 519 val icache_parity_enable = Output(Bool()) 520 // Labeled XiangShan 521 val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter 522 // Load violation predictor 523 val lvpred_disable = Output(Bool()) 524 val no_spec_load = Output(Bool()) 525 val storeset_wait_store = Output(Bool()) 526 val storeset_no_fast_wakeup = Output(Bool()) 527 val lvpred_timeout = Output(UInt(5.W)) 528 // Branch predictor 529 val bp_ctrl = Output(new BPUCtrl) 530 // Memory Block 531 val sbuffer_threshold = Output(UInt(4.W)) 532 val ldld_vio_check_enable = Output(Bool()) 533 val soft_prefetch_enable = Output(Bool()) 534 val cache_error_enable = Output(Bool()) 535 val uncache_write_outstanding_enable = Output(Bool()) 536 // Rename 537 val fusion_enable = Output(Bool()) 538 val wfi_enable = Output(Bool()) 539 // Decode 540 val svinval_enable = Output(Bool()) 541 542 // distribute csr write signal 543 val distribute_csr = new DistributedCSRIO() 544 // TODO: move it to a new bundle, since single step is not a custom control signal 545 val singlestep = Output(Bool()) 546 val frontend_trigger = new FrontendTdataDistributeIO() 547 val mem_trigger = new MemTdataDistributeIO() 548 val trigger_enable = Output(Vec(10, Bool())) 549} 550 551class DistributedCSRIO(implicit p: Parameters) extends XSBundle { 552 // CSR has been written by csr inst, copies of csr should be updated 553 val w = ValidIO(new Bundle { 554 val addr = Output(UInt(12.W)) 555 val data = Output(UInt(XLEN.W)) 556 }) 557} 558 559class DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle { 560 // Request csr to be updated 561 // 562 // Note that this request will ONLY update CSR Module it self, 563 // copies of csr will NOT be updated, use it with care! 564 // 565 // For each cycle, no more than 1 DistributedCSRUpdateReq is valid 566 val w = ValidIO(new Bundle { 567 val addr = Output(UInt(12.W)) 568 val data = Output(UInt(XLEN.W)) 569 }) 570 def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = { 571 when(valid){ 572 w.bits.addr := addr 573 w.bits.data := data 574 } 575 println("Distributed CSR update req registered for " + src_description) 576 } 577} 578 579class L1CacheErrorInfo(implicit p: Parameters) extends XSBundle { 580 // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR 581 val source = Output(new Bundle() { 582 val tag = Bool() // l1 tag array 583 val data = Bool() // l1 data array 584 val l2 = Bool() 585 }) 586 val opType = Output(new Bundle() { 587 val fetch = Bool() 588 val load = Bool() 589 val store = Bool() 590 val probe = Bool() 591 val release = Bool() 592 val atom = Bool() 593 }) 594 val paddr = Output(UInt(PAddrBits.W)) 595 596 // report error and paddr to beu 597 // bus error unit will receive error info iff ecc_error.valid 598 val report_to_beu = Output(Bool()) 599 600 // there is an valid error 601 // l1 cache error will always be report to CACHE_ERROR csr 602 val valid = Output(Bool()) 603 604 def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = { 605 val beu_info = Wire(new L1BusErrorUnitInfo) 606 beu_info.ecc_error.valid := report_to_beu 607 beu_info.ecc_error.bits := paddr 608 beu_info 609 } 610} 611 612/* TODO how to trigger on next inst? 6131. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep 6142. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set 615xret csr to pc + 4/ + 2 6162.5 The problem is to let it commit. This is the real TODO 6173. If it is load and hit before just treat it as regular load exception 618 */ 619 620// This bundle carries trigger hit info along the pipeline 621// Now there are 10 triggers divided into 5 groups of 2 622// These groups are 623// (if if) (store store) (load loid) (if store) (if load) 624 625// Triggers in the same group can chain, meaning that they only 626// fire is both triggers in the group matches (the triggerHitVec bit is asserted) 627// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i) 628// Timing of 0 means trap at current inst, 1 means trap at next inst 629// Chaining and timing and the validness of a trigger is controlled by csr 630// In two chained triggers, if they have different timing, both won't fire 631//class TriggerCf (implicit p: Parameters) extends XSBundle { 632// val triggerHitVec = Vec(10, Bool()) 633// val triggerTiming = Vec(10, Bool()) 634// val triggerChainVec = Vec(5, Bool()) 635//} 636 637class TriggerCf(implicit p: Parameters) extends XSBundle { 638 // frontend 639 val frontendHit = Vec(4, Bool()) 640// val frontendTiming = Vec(4, Bool()) 641// val frontendHitNext = Vec(4, Bool()) 642 643// val frontendException = Bool() 644 // backend 645 val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4) 646 val backendHit = Vec(6, Bool()) 647// val backendTiming = Vec(6, Bool()) // trigger enable fro chain 648 649 // Two situations not allowed: 650 // 1. load data comparison 651 // 2. store chaining with store 652 def getHitFrontend = frontendHit.reduce(_ || _) 653 def getHitBackend = backendHit.reduce(_ || _) 654 def hit = getHitFrontend || getHitBackend 655 def clear(): Unit = { 656 frontendHit.foreach(_ := false.B) 657 backendEn.foreach(_ := false.B) 658 backendHit.foreach(_ := false.B) 659 } 660} 661 662// these 3 bundles help distribute trigger control signals from CSR 663// to Frontend, Load and Store. 664class FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle { 665 val t = Valid(new Bundle { 666 val addr = Output(UInt(2.W)) 667 val tdata = new MatchTriggerIO 668 }) 669 } 670 671class MemTdataDistributeIO(implicit p: Parameters) extends XSBundle { 672 val t = Valid(new Bundle { 673 val addr = Output(UInt(3.W)) 674 val tdata = new MatchTriggerIO 675 }) 676} 677 678class MatchTriggerIO(implicit p: Parameters) extends XSBundle { 679 val matchType = Output(UInt(2.W)) 680 val select = Output(Bool()) 681 val timing = Output(Bool()) 682 val action = Output(Bool()) 683 val chain = Output(Bool()) 684 val tdata2 = Output(UInt(64.W)) 685} 686 687class StallReasonIO(width: Int) extends Bundle { 688 val reason = Output(Vec(width, UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W))) 689 val backReason = Flipped(Valid(UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W))) 690} 691 692// custom l2 - l1 interface 693class L2ToL1Hint(implicit p: Parameters) extends XSBundle with HasDCacheParameters { 694 val sourceId = UInt(log2Up(cfg.nMissEntries).W) // tilelink sourceID -> mshr id 695 val isKeyword = Bool() // miss entry keyword -> L1 load queue replay 696} 697