1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan 18 19import chipsalliance.rocketchip.config.Parameters 20import chisel3._ 21import chisel3.util.BitPat.bitPatToUInt 22import chisel3.util._ 23import utility._ 24import utils._ 25import xiangshan.backend.ctrlblock.CtrlToFtqIO 26import xiangshan.backend.decode.{ImmUnion, XDecode} 27import xiangshan.backend.fu.FuType 28import xiangshan.backend.rob.RobPtr 29import xiangshan.frontend._ 30import xiangshan.mem.{LqPtr, SqPtr} 31import xiangshan.backend.Bundles.DynInst 32 33class ValidUndirectioned[T <: Data](gen: T) extends Bundle { 34 val valid = Bool() 35 val bits = gen.cloneType.asInstanceOf[T] 36 37} 38 39object ValidUndirectioned { 40 def apply[T <: Data](gen: T) = { 41 new ValidUndirectioned[T](gen) 42 } 43} 44 45object RSFeedbackType { 46 val tlbMiss = 0.U(4.W) 47 val mshrFull = 1.U(4.W) 48 val dataInvalid = 2.U(4.W) 49 val bankConflict = 3.U(4.W) 50 val ldVioCheckRedo = 4.U(4.W) 51 val feedbackInvalid = 7.U(4.W) 52 val issueSuccess = 8.U(4.W) 53 val rfArbitFail = 9.U(4.W) 54 val fuIdle = 10.U(4.W) 55 val fuBusy = 11.U(4.W) 56 57 def apply() = UInt(4.W) 58 59 def isStageSuccess(feedbackType: UInt) = { 60 feedbackType === issueSuccess 61 } 62 63 def isBlocked(feedbackType: UInt) = { 64 feedbackType === rfArbitFail || feedbackType === fuBusy || feedbackType === feedbackInvalid 65 } 66} 67 68class PredictorAnswer(implicit p: Parameters) extends XSBundle { 69 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 70 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 71 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 72} 73 74class CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 75 // from backend 76 val pc = UInt(VAddrBits.W) 77 // frontend -> backend -> frontend 78 val pd = new PreDecodeInfo 79 val rasSp = UInt(log2Up(RasSize).W) 80 val rasEntry = new RASEntry 81 // val hist = new ShiftingGlobalHistory 82 val folded_hist = new AllFoldedHistories(foldedGHistInfos) 83 val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos) 84 val lastBrNumOH = UInt((numBr+1).W) 85 val ghr = UInt(UbtbGHRLength.W) 86 val histPtr = new CGHPtr 87 val specCnt = Vec(numBr, UInt(10.W)) 88 // need pipeline update 89 val br_hit = Bool() 90 val predTaken = Bool() 91 val target = UInt(VAddrBits.W) 92 val taken = Bool() 93 val isMisPred = Bool() 94 val shift = UInt((log2Ceil(numBr)+1).W) 95 val addIntoHist = Bool() 96 97 def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = { 98 // this.hist := entry.ghist 99 this.folded_hist := entry.folded_hist 100 this.lastBrNumOH := entry.lastBrNumOH 101 this.afhob := entry.afhob 102 this.histPtr := entry.histPtr 103 this.rasSp := entry.rasSp 104 this.rasEntry := entry.rasTop 105 this 106 } 107} 108 109// Dequeue DecodeWidth insts from Ibuffer 110class CtrlFlow(implicit p: Parameters) extends XSBundle { 111 val instr = UInt(32.W) 112 val pc = UInt(VAddrBits.W) 113 val foldpc = UInt(MemPredPCWidth.W) 114 val exceptionVec = ExceptionVec() 115 val trigger = new TriggerCf 116 val pd = new PreDecodeInfo 117 val pred_taken = Bool() 118 val crossPageIPFFix = Bool() 119 val storeSetHit = Bool() // inst has been allocated an store set 120 val waitForRobIdx = new RobPtr // store set predicted previous store robIdx 121 // Load wait is needed 122 // load inst will not be executed until former store (predicted by mdp) addr calcuated 123 val loadWaitBit = Bool() 124 // If (loadWaitBit && loadWaitStrict), strict load wait is needed 125 // load inst will not be executed until ALL former store addr calcuated 126 val loadWaitStrict = Bool() 127 val ssid = UInt(SSIDWidth.W) 128 val ftqPtr = new FtqPtr 129 val ftqOffset = UInt(log2Up(PredictWidth).W) 130} 131 132 133class FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 134 val isAddSub = Bool() // swap23 135 val typeTagIn = UInt(1.W) 136 val typeTagOut = UInt(1.W) 137 val fromInt = Bool() 138 val wflags = Bool() 139 val fpWen = Bool() 140 val fmaCmd = UInt(2.W) 141 val div = Bool() 142 val sqrt = Bool() 143 val fcvt = Bool() 144 val typ = UInt(2.W) 145 val fmt = UInt(2.W) 146 val ren3 = Bool() //TODO: remove SrcType.fp 147 val rm = UInt(3.W) 148} 149 150// Decode DecodeWidth insts at Decode Stage 151class CtrlSignals(implicit p: Parameters) extends XSBundle { 152 val debug_globalID = UInt(XLEN.W) 153 val srcType = Vec(4, SrcType()) 154 val lsrc = Vec(4, UInt(6.W)) 155 val ldest = UInt(6.W) 156 val fuType = FuType() 157 val fuOpType = FuOpType() 158 val rfWen = Bool() 159 val fpWen = Bool() 160 val vecWen = Bool() 161 val isXSTrap = Bool() 162 val noSpecExec = Bool() // wait forward 163 val blockBackward = Bool() // block backward 164 val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 165 val selImm = SelImm() 166 val imm = UInt(ImmUnion.maxLen.W) 167 val commitType = CommitType() 168 val fpu = new FPUCtrlSignals 169 val uopIdx = UInt(5.W) 170 val vconfig = UInt(16.W) 171 val isMove = Bool() 172 val singleStep = Bool() 173 // This inst will flush all the pipe when it is the oldest inst in ROB, 174 // then replay from this inst itself 175 val replayInst = Bool() 176 177 private def allSignals = srcType.take(3) ++ Seq(fuType, fuOpType, rfWen, fpWen, vecWen, 178 isXSTrap, noSpecExec, blockBackward, flushPipe, selImm) 179 180 def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = { 181 val decoder: Seq[UInt] = ListLookup( 182 inst, XDecode.decodeDefault.map(bitPatToUInt), 183 table.map{ case (pat, pats) => (pat, pats.map(bitPatToUInt)) }.toArray 184 ) 185 allSignals zip decoder foreach { case (s, d) => s := d } 186 commitType := DontCare 187 this 188 } 189 190 def decode(bit: List[BitPat]): CtrlSignals = { 191 allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d } 192 this 193 } 194 195 def isWFI: Bool = fuType === FuType.csr.U && fuOpType === CSROpType.wfi 196 def isSoftPrefetch: Bool = { 197 fuType === FuType.alu.U && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U 198 } 199} 200 201class CfCtrl(implicit p: Parameters) extends XSBundle { 202 val cf = new CtrlFlow 203 val ctrl = new CtrlSignals 204} 205 206class PerfDebugInfo(implicit p: Parameters) extends XSBundle { 207 val eliminatedMove = Bool() 208 // val fetchTime = UInt(XLEN.W) 209 val renameTime = UInt(XLEN.W) 210 val dispatchTime = UInt(XLEN.W) 211 val enqRsTime = UInt(XLEN.W) 212 val selectTime = UInt(XLEN.W) 213 val issueTime = UInt(XLEN.W) 214 val writebackTime = UInt(XLEN.W) 215 // val commitTime = UInt(XLEN.W) 216 val runahead_checkpoint_id = UInt(XLEN.W) 217 val tlbFirstReqTime = UInt(XLEN.W) 218 val tlbRespTime = UInt(XLEN.W) // when getting hit result (including delay in L2TLB hit) 219} 220 221// Separate LSQ 222class LSIdx(implicit p: Parameters) extends XSBundle { 223 val lqIdx = new LqPtr 224 val sqIdx = new SqPtr 225} 226 227// CfCtrl -> MicroOp at Rename Stage 228class MicroOp(implicit p: Parameters) extends CfCtrl { 229 val srcState = Vec(4, SrcState()) 230 val psrc = Vec(4, UInt(PhyRegIdxWidth.W)) 231 val pdest = UInt(PhyRegIdxWidth.W) 232 val old_pdest = UInt(PhyRegIdxWidth.W) 233 val robIdx = new RobPtr 234 val lqIdx = new LqPtr 235 val sqIdx = new SqPtr 236 val eliminatedMove = Bool() 237 val debugInfo = new PerfDebugInfo 238 def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = { 239 val stateReady = srcState(index) === SrcState.rdy || ignoreState.B 240 val readReg = if (isFp) { 241 ctrl.srcType(index) === SrcType.fp 242 } else { 243 ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U 244 } 245 readReg && stateReady 246 } 247 def srcIsReady: Vec[Bool] = { 248 VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy }) 249 } 250 def clearExceptions( 251 exceptionBits: Seq[Int] = Seq(), 252 flushPipe: Boolean = false, 253 replayInst: Boolean = false 254 ): MicroOp = { 255 cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B) 256 if (!flushPipe) { ctrl.flushPipe := false.B } 257 if (!replayInst) { ctrl.replayInst := false.B } 258 this 259 } 260} 261 262class Redirect(implicit p: Parameters) extends XSBundle { 263 val robIdx = new RobPtr 264 val ftqIdx = new FtqPtr 265 val ftqOffset = UInt(log2Up(PredictWidth).W) 266 val level = RedirectLevel() 267 val interrupt = Bool() 268 val cfiUpdate = new CfiUpdateInfo 269 270 val stFtqIdx = new FtqPtr // for load violation predict 271 val stFtqOffset = UInt(log2Up(PredictWidth).W) 272 273 val debug_runahead_checkpoint_id = UInt(64.W) 274 275 def flushItself() = RedirectLevel.flushItself(level) 276} 277 278class ResetPregStateReq(implicit p: Parameters) extends XSBundle { 279 // NOTE: set isInt and isFp both to 'false' when invalid 280 val isInt = Bool() 281 val isFp = Bool() 282 val preg = UInt(PhyRegIdxWidth.W) 283} 284 285class DebugBundle(implicit p: Parameters) extends XSBundle { 286 val isMMIO = Bool() 287 val isPerfCnt = Bool() 288 val paddr = UInt(PAddrBits.W) 289 val vaddr = UInt(VAddrBits.W) 290 /* add L/S inst info in EXU */ 291 // val L1toL2TlbLatency = UInt(XLEN.W) 292 // val levelTlbHit = UInt(2.W) 293} 294 295class ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 296 val mtip = Input(Bool()) 297 val msip = Input(Bool()) 298 val meip = Input(Bool()) 299 val seip = Input(Bool()) 300 val debug = Input(Bool()) 301} 302 303class CSRSpecialIO(implicit p: Parameters) extends XSBundle { 304 val exception = Flipped(ValidIO(new DynInst)) 305 val isInterrupt = Input(Bool()) 306 val memExceptionVAddr = Input(UInt(VAddrBits.W)) 307 val trapTarget = Output(UInt(VAddrBits.W)) 308 val externalInterrupt = new ExternalInterruptIO 309 val interrupt = Output(Bool()) 310} 311 312class RobCommitInfo(implicit p: Parameters) extends XSBundle { 313 val ldest = UInt(6.W) 314 val rfWen = Bool() 315 val fpWen = Bool() 316 val vecWen = Bool() 317 val wflags = Bool() 318 val commitType = CommitType() 319 val pdest = UInt(PhyRegIdxWidth.W) 320 val old_pdest = UInt(PhyRegIdxWidth.W) 321 val ftqIdx = new FtqPtr 322 val ftqOffset = UInt(log2Up(PredictWidth).W) 323 val isMove = Bool() 324 325 // these should be optimized for synthesis verilog 326 val pc = UInt(VAddrBits.W) 327 328 val uopIdx = UInt(5.W) 329// val vconfig = UInt(16.W) 330} 331 332class RobCommitIO(implicit p: Parameters) extends XSBundle { 333 val isCommit = Bool() 334 val commitValid = Vec(CommitWidth, Bool()) 335 336 val isWalk = Bool() 337 // valid bits optimized for walk 338 val walkValid = Vec(CommitWidth, Bool()) 339 340 val info = Vec(CommitWidth, new RobCommitInfo) 341 342 def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR 343 def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR 344} 345 346class RSFeedback(implicit p: Parameters) extends XSBundle { 347 val rsIdx = UInt(log2Up(IQSizeMax).W) 348 val hit = Bool() 349 val flushState = Bool() 350 val sourceType = RSFeedbackType() 351 val dataInvalidSqIdx = new SqPtr 352} 353 354class MemRSFeedbackIO(implicit p: Parameters) extends XSBundle { 355 // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO 356 // for instance: MemRSFeedbackIO()(updateP) 357 val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss 358 val feedbackFast = ValidIO(new RSFeedback()) // bank conflict 359} 360 361class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle { 362 // to backend end 363 val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 364 val fromFtq = new FtqToCtrlIO 365 // from backend 366 val toFtq = Flipped(new CtrlToFtqIO) 367} 368 369class SatpStruct(implicit p: Parameters) extends XSBundle { 370 val mode = UInt(4.W) 371 val asid = UInt(16.W) 372 val ppn = UInt(44.W) 373} 374 375class TlbSatpBundle(implicit p: Parameters) extends SatpStruct { 376 val changed = Bool() 377 378 def apply(satp_value: UInt): Unit = { 379 require(satp_value.getWidth == XLEN) 380 val sa = satp_value.asTypeOf(new SatpStruct) 381 mode := sa.mode 382 asid := sa.asid 383 ppn := Cat(0.U(44-PAddrBits), sa.ppn(PAddrBits-1, 0)).asUInt() 384 changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush 385 } 386} 387 388class TlbCsrBundle(implicit p: Parameters) extends XSBundle { 389 val satp = new TlbSatpBundle() 390 val priv = new Bundle { 391 val mxr = Bool() 392 val sum = Bool() 393 val imode = UInt(2.W) 394 val dmode = UInt(2.W) 395 } 396 397 override def toPrintable: Printable = { 398 p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 399 p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 400 } 401} 402 403class SfenceBundle(implicit p: Parameters) extends XSBundle { 404 val valid = Bool() 405 val bits = new Bundle { 406 val rs1 = Bool() 407 val rs2 = Bool() 408 val addr = UInt(VAddrBits.W) 409 val asid = UInt(AsidLength.W) 410 val flushPipe = Bool() 411 } 412 413 override def toPrintable: Printable = { 414 p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}" 415 } 416} 417 418// Bundle for load violation predictor updating 419class MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 420 val valid = Bool() 421 422 // wait table update 423 val waddr = UInt(MemPredPCWidth.W) 424 val wdata = Bool() // true.B by default 425 426 // store set update 427 // by default, ldpc/stpc should be xor folded 428 val ldpc = UInt(MemPredPCWidth.W) 429 val stpc = UInt(MemPredPCWidth.W) 430} 431 432class CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 433 // Prefetcher 434 val l1I_pf_enable = Output(Bool()) 435 val l2_pf_enable = Output(Bool()) 436 val l1D_pf_enable = Output(Bool()) 437 val l1D_pf_train_on_hit = Output(Bool()) 438 val l1D_pf_enable_agt = Output(Bool()) 439 val l1D_pf_enable_pht = Output(Bool()) 440 val l1D_pf_active_threshold = Output(UInt(4.W)) 441 val l1D_pf_active_stride = Output(UInt(6.W)) 442 val l1D_pf_enable_stride = Output(Bool()) 443 val l2_pf_store_only = Output(Bool()) 444 // ICache 445 val icache_parity_enable = Output(Bool()) 446 // Labeled XiangShan 447 val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter 448 // Load violation predictor 449 val lvpred_disable = Output(Bool()) 450 val no_spec_load = Output(Bool()) 451 val storeset_wait_store = Output(Bool()) 452 val storeset_no_fast_wakeup = Output(Bool()) 453 val lvpred_timeout = Output(UInt(5.W)) 454 // Branch predictor 455 val bp_ctrl = Output(new BPUCtrl) 456 // Memory Block 457 val sbuffer_threshold = Output(UInt(4.W)) 458 val ldld_vio_check_enable = Output(Bool()) 459 val soft_prefetch_enable = Output(Bool()) 460 val cache_error_enable = Output(Bool()) 461 val uncache_write_outstanding_enable = Output(Bool()) 462 // Rename 463 val fusion_enable = Output(Bool()) 464 val wfi_enable = Output(Bool()) 465 // Decode 466 val svinval_enable = Output(Bool()) 467 468 // distribute csr write signal 469 val distribute_csr = new DistributedCSRIO() 470 471 val singlestep = Output(Bool()) 472 val frontend_trigger = new FrontendTdataDistributeIO() 473 val mem_trigger = new MemTdataDistributeIO() 474 val trigger_enable = Output(Vec(10, Bool())) 475} 476 477class DistributedCSRIO(implicit p: Parameters) extends XSBundle { 478 // CSR has been written by csr inst, copies of csr should be updated 479 val w = ValidIO(new Bundle { 480 val addr = Output(UInt(12.W)) 481 val data = Output(UInt(XLEN.W)) 482 }) 483} 484 485class DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle { 486 // Request csr to be updated 487 // 488 // Note that this request will ONLY update CSR Module it self, 489 // copies of csr will NOT be updated, use it with care! 490 // 491 // For each cycle, no more than 1 DistributedCSRUpdateReq is valid 492 val w = ValidIO(new Bundle { 493 val addr = Output(UInt(12.W)) 494 val data = Output(UInt(XLEN.W)) 495 }) 496 def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = { 497 when(valid){ 498 w.bits.addr := addr 499 w.bits.data := data 500 } 501 println("Distributed CSR update req registered for " + src_description) 502 } 503} 504 505class L1CacheErrorInfo(implicit p: Parameters) extends XSBundle { 506 // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR 507 val source = Output(new Bundle() { 508 val tag = Bool() // l1 tag array 509 val data = Bool() // l1 data array 510 val l2 = Bool() 511 }) 512 val opType = Output(new Bundle() { 513 val fetch = Bool() 514 val load = Bool() 515 val store = Bool() 516 val probe = Bool() 517 val release = Bool() 518 val atom = Bool() 519 }) 520 val paddr = Output(UInt(PAddrBits.W)) 521 522 // report error and paddr to beu 523 // bus error unit will receive error info iff ecc_error.valid 524 val report_to_beu = Output(Bool()) 525 526 // there is an valid error 527 // l1 cache error will always be report to CACHE_ERROR csr 528 val valid = Output(Bool()) 529 530 def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = { 531 val beu_info = Wire(new L1BusErrorUnitInfo) 532 beu_info.ecc_error.valid := report_to_beu 533 beu_info.ecc_error.bits := paddr 534 beu_info 535 } 536} 537 538/* TODO how to trigger on next inst? 5391. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep 5402. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set 541xret csr to pc + 4/ + 2 5422.5 The problem is to let it commit. This is the real TODO 5433. If it is load and hit before just treat it as regular load exception 544 */ 545 546// This bundle carries trigger hit info along the pipeline 547// Now there are 10 triggers divided into 5 groups of 2 548// These groups are 549// (if if) (store store) (load loid) (if store) (if load) 550 551// Triggers in the same group can chain, meaning that they only 552// fire is both triggers in the group matches (the triggerHitVec bit is asserted) 553// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i) 554// Timing of 0 means trap at current inst, 1 means trap at next inst 555// Chaining and timing and the validness of a trigger is controlled by csr 556// In two chained triggers, if they have different timing, both won't fire 557//class TriggerCf (implicit p: Parameters) extends XSBundle { 558// val triggerHitVec = Vec(10, Bool()) 559// val triggerTiming = Vec(10, Bool()) 560// val triggerChainVec = Vec(5, Bool()) 561//} 562 563class TriggerCf(implicit p: Parameters) extends XSBundle { 564 // frontend 565 val frontendHit = Vec(4, Bool()) 566// val frontendTiming = Vec(4, Bool()) 567// val frontendHitNext = Vec(4, Bool()) 568 569// val frontendException = Bool() 570 // backend 571 val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4) 572 val backendHit = Vec(6, Bool()) 573// val backendTiming = Vec(6, Bool()) // trigger enable fro chain 574 575 // Two situations not allowed: 576 // 1. load data comparison 577 // 2. store chaining with store 578 def getHitFrontend = frontendHit.reduce(_ || _) 579 def getHitBackend = backendHit.reduce(_ || _) 580 def hit = getHitFrontend || getHitBackend 581 def clear(): Unit = { 582 frontendHit.foreach(_ := false.B) 583 backendEn.foreach(_ := false.B) 584 backendHit.foreach(_ := false.B) 585 } 586} 587 588// these 3 bundles help distribute trigger control signals from CSR 589// to Frontend, Load and Store. 590class FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle { 591 val t = Valid(new Bundle { 592 val addr = Output(UInt(2.W)) 593 val tdata = new MatchTriggerIO 594 }) 595 } 596 597class MemTdataDistributeIO(implicit p: Parameters) extends XSBundle { 598 val t = Valid(new Bundle { 599 val addr = Output(UInt(3.W)) 600 val tdata = new MatchTriggerIO 601 }) 602} 603 604class MatchTriggerIO(implicit p: Parameters) extends XSBundle { 605 val matchType = Output(UInt(2.W)) 606 val select = Output(Bool()) 607 val timing = Output(Bool()) 608 val action = Output(Bool()) 609 val chain = Output(Bool()) 610 val tdata2 = Output(UInt(64.W)) 611} 612