xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision d479a3a838f93713e8d569af098b6da7fc3c5905)
1package xiangshan
2
3import chisel3._
4import chisel3.util._
5import xiangshan.backend.SelImm
6import xiangshan.backend.roq.RoqPtr
7import xiangshan.backend.decode.{ImmUnion, XDecode, WaitTableParameters}
8import xiangshan.mem.{LqPtr, SqPtr}
9import xiangshan.frontend.PreDecodeInfoForDebug
10import xiangshan.frontend.PreDecodeInfo
11import xiangshan.frontend.HasBPUParameter
12import xiangshan.frontend.PreDecodeInfo
13import xiangshan.frontend.HasTageParameter
14import xiangshan.frontend.HasSCParameter
15import xiangshan.frontend.HasIFUConst
16import xiangshan.frontend.GlobalHistory
17import xiangshan.frontend.RASEntry
18import xiangshan.frontend.BPUCtrl
19import utils._
20
21import scala.math.max
22import Chisel.experimental.chiselName
23import xiangshan.backend.ftq.FtqPtr
24
25// Fetch FetchWidth x 32-bit insts from Icache
26class FetchPacket extends XSBundle with WaitTableParameters {
27  val instrs = Vec(PredictWidth, UInt(32.W))
28  val mask = UInt(PredictWidth.W)
29  val pdmask = UInt(PredictWidth.W)
30  // val pc = UInt(VAddrBits.W)
31  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
32  val foldpc = Vec(PredictWidth, UInt(WaitTableAddrWidth.W))
33  val pd = Vec(PredictWidth, new PreDecodeInfo)
34  val ipf = Bool()
35  val acf = Bool()
36  val crossPageIPFFix = Bool()
37  val pred_taken = UInt(PredictWidth.W)
38  val ftqPtr = new FtqPtr
39}
40
41class ValidUndirectioned[T <: Data](gen: T) extends Bundle {
42  val valid = Bool()
43  val bits = gen.cloneType.asInstanceOf[T]
44
45  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
46}
47
48object ValidUndirectioned {
49  def apply[T <: Data](gen: T) = {
50    new ValidUndirectioned[T](gen)
51  }
52}
53
54class SCMeta(val useSC: Boolean) extends XSBundle with HasSCParameter {
55  val tageTaken = if (useSC) Bool() else UInt(0.W)
56  val scUsed = if (useSC) Bool() else UInt(0.W)
57  val scPred = if (useSC) Bool() else UInt(0.W)
58  // Suppose ctrbits of all tables are identical
59  val ctrs = if (useSC) Vec(SCNTables, SInt(SCCtrBits.W)) else Vec(SCNTables, SInt(0.W))
60}
61
62class TageMeta extends XSBundle with HasTageParameter {
63  val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
64  val altDiffers = Bool()
65  val providerU = UInt(2.W)
66  val providerCtr = UInt(3.W)
67  val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
68  val taken = Bool()
69  val scMeta = new SCMeta(EnableSC)
70}
71
72@chiselName
73class BranchPrediction extends XSBundle with HasIFUConst {
74  // val redirect = Bool()
75  val takens = UInt(PredictWidth.W)
76  // val jmpIdx = UInt(log2Up(PredictWidth).W)
77  val brMask = UInt(PredictWidth.W)
78  val jalMask = UInt(PredictWidth.W)
79  val targets = Vec(PredictWidth, UInt(VAddrBits.W))
80
81  // half RVI could only start at the end of a packet
82  val hasHalfRVI = Bool()
83
84  def brNotTakens = (~takens & brMask)
85
86  def sawNotTakenBr = VecInit((0 until PredictWidth).map(i =>
87    (if (i == 0) false.B else ParallelORR(brNotTakens(i - 1, 0)))))
88
89  // if not taken before the half RVI inst
90  def saveHalfRVI = hasHalfRVI && !(ParallelORR(takens(PredictWidth - 2, 0)))
91
92  // could get PredictWidth-1 when only the first bank is valid
93  def jmpIdx = ParallelPriorityEncoder(takens)
94
95  // only used when taken
96  def target = {
97    val generator = new PriorityMuxGenerator[UInt]
98    generator.register(takens.asBools, targets, List.fill(PredictWidth)(None))
99    generator()
100  }
101
102  def taken = ParallelORR(takens)
103
104  def takenOnBr = taken && ParallelPriorityMux(takens, brMask.asBools)
105
106  def hasNotTakenBrs = Mux(taken, ParallelPriorityMux(takens, sawNotTakenBr), ParallelORR(brNotTakens))
107}
108
109class PredictorAnswer extends XSBundle {
110  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
111  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
112  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
113}
114
115class BpuMeta extends XSBundle with HasBPUParameter {
116  val btbWriteWay = UInt(log2Up(BtbWays).W)
117  val bimCtr = UInt(2.W)
118  val tageMeta = new TageMeta
119  // for global history
120
121  val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
122  val debug_btb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
123  val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
124
125  val predictor = if (BPUDebug) UInt(log2Up(4).W) else UInt(0.W) // Mark which component this prediction comes from {ubtb, btb, tage, loopPredictor}
126
127  val ubtbAns = new PredictorAnswer
128  val btbAns = new PredictorAnswer
129  val tageAns = new PredictorAnswer
130  val rasAns = new PredictorAnswer
131  val loopAns = new PredictorAnswer
132
133  // def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = {
134  //   this.histPtr := histPtr
135  //   this.tageMeta := tageMeta
136  //   this.rasSp := rasSp
137  //   this.rasTopCtr := rasTopCtr
138  //   this.asUInt
139  // }
140  def size = 0.U.asTypeOf(this).getWidth
141
142  def fromUInt(x: UInt) = x.asTypeOf(this)
143}
144
145class Predecode extends XSBundle with HasIFUConst {
146  val hasLastHalfRVI = Bool()
147  val mask = UInt(PredictWidth.W)
148  val lastHalf = Bool()
149  val pd = Vec(PredictWidth, (new PreDecodeInfo))
150}
151
152class CfiUpdateInfo extends XSBundle with HasBPUParameter {
153  // from backend
154  val pc = UInt(VAddrBits.W)
155  // frontend -> backend -> frontend
156  val pd = new PreDecodeInfo
157  val rasSp = UInt(log2Up(RasSize).W)
158  val rasEntry = new RASEntry
159  val hist = new GlobalHistory
160  val predHist = new GlobalHistory
161  val specCnt = Vec(PredictWidth, UInt(10.W))
162  // need pipeline update
163  val sawNotTakenBranch = Bool()
164  val predTaken = Bool()
165  val target = UInt(VAddrBits.W)
166  val taken = Bool()
167  val isMisPred = Bool()
168}
169
170// Dequeue DecodeWidth insts from Ibuffer
171class CtrlFlow extends XSBundle with WaitTableParameters {
172  val instr = UInt(32.W)
173  val pc = UInt(VAddrBits.W)
174  val foldpc = UInt(WaitTableAddrWidth.W)
175  val exceptionVec = ExceptionVec()
176  val intrVec = Vec(12, Bool())
177  val pd = new PreDecodeInfo
178  val pred_taken = Bool()
179  val crossPageIPFFix = Bool()
180  val loadWaitBit = Bool() // load inst should not be executed until all former store addr calcuated
181  val ftqPtr = new FtqPtr
182  val ftqOffset = UInt(log2Up(PredictWidth).W)
183}
184
185class FtqEntry extends XSBundle {
186  // fetch pc, pc of each inst could be generated by concatenation
187  val ftqPC = UInt(VAddrBits.W)
188  val lastPacketPC = ValidUndirectioned(UInt(VAddrBits.W))
189  // prediction metas
190  val hist = new GlobalHistory
191  val predHist = new GlobalHistory
192  val rasSp = UInt(log2Ceil(RasSize).W)
193  val rasTop = new RASEntry()
194  val specCnt = Vec(PredictWidth, UInt(10.W))
195  val metas = Vec(PredictWidth, new BpuMeta)
196
197  val cfiIsCall, cfiIsRet, cfiIsRVC = Bool()
198  val rvc_mask = Vec(PredictWidth, Bool())
199  val br_mask = Vec(PredictWidth, Bool())
200  val cfiIndex = ValidUndirectioned(UInt(log2Up(PredictWidth).W))
201  val valids = Vec(PredictWidth, Bool())
202
203  // backend update
204  val mispred = Vec(PredictWidth, Bool())
205  val target = UInt(VAddrBits.W)
206
207  // For perf counters
208  val pd = Vec(PredictWidth, new PreDecodeInfoForDebug(!env.FPGAPlatform))
209
210  def takens = VecInit((0 until PredictWidth).map(i => cfiIndex.valid && cfiIndex.bits === i.U))
211  def hasLastPrev = lastPacketPC.valid
212
213  override def toPrintable: Printable = {
214    p"ftqPC: ${Hexadecimal(ftqPC)} lastPacketPC: ${Hexadecimal(lastPacketPC.bits)} hasLastPrev:$hasLastPrev " +
215      p"rasSp:$rasSp specCnt:$specCnt brmask:${Binary(Cat(br_mask))} rvcmask:${Binary(Cat(rvc_mask))} " +
216      p"valids:${Binary(valids.asUInt())} cfi valid: ${cfiIndex.valid} " +
217      p"cfi index: ${cfiIndex.bits} isCall:$cfiIsCall isRet:$cfiIsRet isRvc:$cfiIsRVC " +
218      p"mispred:${Binary(Cat(mispred))} target:${Hexadecimal(target)}\n"
219  }
220
221}
222
223
224class FPUCtrlSignals extends XSBundle {
225  val isAddSub = Bool() // swap23
226  val typeTagIn = UInt(2.W)
227  val typeTagOut = UInt(2.W)
228  val fromInt = Bool()
229  val wflags = Bool()
230  val fpWen = Bool()
231  val fmaCmd = UInt(2.W)
232  val div = Bool()
233  val sqrt = Bool()
234  val fcvt = Bool()
235  val typ = UInt(2.W)
236  val fmt = UInt(2.W)
237  val ren3 = Bool() //TODO: remove SrcType.fp
238  val rm = UInt(3.W)
239}
240
241// Decode DecodeWidth insts at Decode Stage
242class CtrlSignals extends XSBundle {
243  val src1Type, src2Type, src3Type = SrcType()
244  val lsrc1, lsrc2, lsrc3 = UInt(5.W)
245  val ldest = UInt(5.W)
246  val fuType = FuType()
247  val fuOpType = FuOpType()
248  val rfWen = Bool()
249  val fpWen = Bool()
250  val isXSTrap = Bool()
251  val noSpecExec = Bool() // wait forward
252  val blockBackward = Bool() // block backward
253  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
254  val isRVF = Bool()
255  val selImm = SelImm()
256  val imm = UInt(ImmUnion.maxLen.W)
257  val commitType = CommitType()
258  val fpu = new FPUCtrlSignals
259
260  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]) = {
261    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table)
262    val signals =
263      Seq(src1Type, src2Type, src3Type, fuType, fuOpType, rfWen, fpWen,
264        isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm)
265    signals zip decoder map { case (s, d) => s := d }
266    commitType := DontCare
267    this
268  }
269}
270
271class CfCtrl extends XSBundle {
272  val cf = new CtrlFlow
273  val ctrl = new CtrlSignals
274}
275
276class PerfDebugInfo extends XSBundle {
277  // val fetchTime = UInt(64.W)
278  val renameTime = UInt(64.W)
279  val dispatchTime = UInt(64.W)
280  val issueTime = UInt(64.W)
281  val writebackTime = UInt(64.W)
282  // val commitTime = UInt(64.W)
283}
284
285// Separate LSQ
286class LSIdx extends XSBundle {
287  val lqIdx = new LqPtr
288  val sqIdx = new SqPtr
289}
290
291// CfCtrl -> MicroOp at Rename Stage
292class MicroOp extends CfCtrl {
293  val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W)
294  val src1State, src2State, src3State = SrcState()
295  val roqIdx = new RoqPtr
296  val lqIdx = new LqPtr
297  val sqIdx = new SqPtr
298  val diffTestDebugLrScValid = Bool()
299  val debugInfo = new PerfDebugInfo
300}
301
302class Redirect extends XSBundle {
303  val roqIdx = new RoqPtr
304  val ftqIdx = new FtqPtr
305  val ftqOffset = UInt(log2Up(PredictWidth).W)
306  val level = RedirectLevel()
307  val interrupt = Bool()
308  val cfiUpdate = new CfiUpdateInfo
309
310
311  // def isUnconditional() = RedirectLevel.isUnconditional(level)
312  def flushItself() = RedirectLevel.flushItself(level)
313  // def isException() = RedirectLevel.isException(level)
314}
315
316class Dp1ToDp2IO extends XSBundle {
317  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
318  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
319  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
320}
321
322class ReplayPregReq extends XSBundle {
323  // NOTE: set isInt and isFp both to 'false' when invalid
324  val isInt = Bool()
325  val isFp = Bool()
326  val preg = UInt(PhyRegIdxWidth.W)
327}
328
329class DebugBundle extends XSBundle {
330  val isMMIO = Bool()
331  val isPerfCnt = Bool()
332  val paddr = UInt(PAddrBits.W)
333}
334
335class ExuInput extends XSBundle {
336  val uop = new MicroOp
337  val src1, src2, src3 = UInt((XLEN + 1).W)
338}
339
340class ExuOutput extends XSBundle {
341  val uop = new MicroOp
342  val data = UInt((XLEN + 1).W)
343  val fflags = UInt(5.W)
344  val redirectValid = Bool()
345  val redirect = new Redirect
346  val debug = new DebugBundle
347}
348
349class ExternalInterruptIO extends XSBundle {
350  val mtip = Input(Bool())
351  val msip = Input(Bool())
352  val meip = Input(Bool())
353}
354
355class CSRSpecialIO extends XSBundle {
356  val exception = Flipped(ValidIO(new MicroOp))
357  val isInterrupt = Input(Bool())
358  val memExceptionVAddr = Input(UInt(VAddrBits.W))
359  val trapTarget = Output(UInt(VAddrBits.W))
360  val externalInterrupt = new ExternalInterruptIO
361  val interrupt = Output(Bool())
362}
363
364class ExceptionInfo extends XSBundle {
365  val uop = new MicroOp
366  val isInterrupt = Bool()
367}
368
369class RoqCommitInfo extends XSBundle {
370  val ldest = UInt(5.W)
371  val rfWen = Bool()
372  val fpWen = Bool()
373  val wflags = Bool()
374  val commitType = CommitType()
375  val pdest = UInt(PhyRegIdxWidth.W)
376  val old_pdest = UInt(PhyRegIdxWidth.W)
377  val ftqIdx = new FtqPtr
378  val ftqOffset = UInt(log2Up(PredictWidth).W)
379
380  // these should be optimized for synthesis verilog
381  val pc = UInt(VAddrBits.W)
382}
383
384class RoqCommitIO extends XSBundle {
385  val isWalk = Output(Bool())
386  val valid = Vec(CommitWidth, Output(Bool()))
387  val info = Vec(CommitWidth, Output(new RoqCommitInfo))
388
389  def hasWalkInstr = isWalk && valid.asUInt.orR
390
391  def hasCommitInstr = !isWalk && valid.asUInt.orR
392}
393
394class TlbFeedback extends XSBundle {
395  val rsIdx = UInt(log2Up(IssQueSize).W)
396  val hit = Bool()
397  val flushState = Bool()
398}
399
400class RSFeedback extends TlbFeedback
401
402class FrontendToBackendIO extends XSBundle {
403  // to backend end
404  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
405  val fetchInfo = DecoupledIO(new FtqEntry)
406  // from backend
407  val redirect_cfiUpdate = Flipped(ValidIO(new Redirect))
408  val commit_cfiUpdate = Flipped(ValidIO(new FtqEntry))
409  val ftqEnqPtr = Input(new FtqPtr)
410  val ftqLeftOne = Input(Bool())
411}
412
413class TlbCsrBundle extends XSBundle {
414  val satp = new Bundle {
415    val mode = UInt(4.W) // TODO: may change number to parameter
416    val asid = UInt(16.W)
417    val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
418  }
419  val priv = new Bundle {
420    val mxr = Bool()
421    val sum = Bool()
422    val imode = UInt(2.W)
423    val dmode = UInt(2.W)
424  }
425
426  override def toPrintable: Printable = {
427    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
428      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
429  }
430}
431
432class SfenceBundle extends XSBundle {
433  val valid = Bool()
434  val bits = new Bundle {
435    val rs1 = Bool()
436    val rs2 = Bool()
437    val addr = UInt(VAddrBits.W)
438  }
439
440  override def toPrintable: Printable = {
441    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
442  }
443}
444
445class WaitTableUpdateReq extends XSBundle with WaitTableParameters {
446  val valid = Bool()
447  val waddr = UInt(WaitTableAddrWidth.W)
448  val wdata = Bool() // true.B by default
449}
450
451class DifftestBundle extends XSBundle {
452  val fromSbuffer = new Bundle() {
453    val sbufferResp = Output(Bool())
454    val sbufferAddr = Output(UInt(64.W))
455    val sbufferData = Output(Vec(64, UInt(8.W)))
456    val sbufferMask = Output(UInt(64.W))
457  }
458  val fromSQ = new Bundle() {
459    val storeCommit = Output(UInt(2.W))
460    val storeAddr   = Output(Vec(2, UInt(64.W)))
461    val storeData   = Output(Vec(2, UInt(64.W)))
462    val storeMask   = Output(Vec(2, UInt(8.W)))
463  }
464  val fromXSCore = new Bundle() {
465    val r = Output(Vec(64, UInt(XLEN.W)))
466  }
467  val fromCSR = new Bundle() {
468    val intrNO = Output(UInt(64.W))
469    val cause = Output(UInt(64.W))
470    val priviledgeMode = Output(UInt(2.W))
471    val mstatus = Output(UInt(64.W))
472    val sstatus = Output(UInt(64.W))
473    val mepc = Output(UInt(64.W))
474    val sepc = Output(UInt(64.W))
475    val mtval = Output(UInt(64.W))
476    val stval = Output(UInt(64.W))
477    val mtvec = Output(UInt(64.W))
478    val stvec = Output(UInt(64.W))
479    val mcause = Output(UInt(64.W))
480    val scause = Output(UInt(64.W))
481    val satp = Output(UInt(64.W))
482    val mip = Output(UInt(64.W))
483    val mie = Output(UInt(64.W))
484    val mscratch = Output(UInt(64.W))
485    val sscratch = Output(UInt(64.W))
486    val mideleg = Output(UInt(64.W))
487    val medeleg = Output(UInt(64.W))
488  }
489  val fromRoq = new Bundle() {
490    val commit = Output(UInt(32.W))
491    val thisPC = Output(UInt(XLEN.W))
492    val thisINST = Output(UInt(32.W))
493    val skip = Output(UInt(32.W))
494    val wen = Output(UInt(32.W))
495    val wdata = Output(Vec(CommitWidth, UInt(XLEN.W))) // set difftest width to 6
496    val wdst = Output(Vec(CommitWidth, UInt(32.W))) // set difftest width to 6
497    val wpc = Output(Vec(CommitWidth, UInt(XLEN.W))) // set difftest width to 6
498    val lpaddr = Output(Vec(CommitWidth, UInt(64.W)))
499    val ltype = Output(Vec(CommitWidth, UInt(32.W)))
500    val lfu = Output(Vec(CommitWidth, UInt(4.W)))
501    val isRVC = Output(UInt(32.W))
502    val scFailed = Output(Bool())
503  }
504  val fromAtomic = new Bundle() {
505    val atomicResp = Output(Bool())
506    val atomicAddr = Output(UInt(64.W))
507    val atomicData = Output(UInt(64.W))
508    val atomicMask = Output(UInt(8.W))
509    val atomicFuop = Output(UInt(8.W))
510    val atomicOut  = Output(UInt(64.W))
511  }
512  val fromPtw = new Bundle() {
513    val ptwResp = Output(Bool())
514    val ptwAddr = Output(UInt(64.W))
515    val ptwData = Output(Vec(4, UInt(64.W)))
516  }
517}
518
519class TrapIO extends XSBundle {
520  val valid = Output(Bool())
521  val code = Output(UInt(3.W))
522  val pc = Output(UInt(VAddrBits.W))
523  val cycleCnt = Output(UInt(XLEN.W))
524  val instrCnt = Output(UInt(XLEN.W))
525}
526
527class PerfInfoIO extends XSBundle {
528  val clean = Input(Bool())
529  val dump = Input(Bool())
530}
531
532class CustomCSRCtrlIO extends XSBundle {
533  // Prefetcher
534  val l1plus_pf_enable = Output(Bool())
535  val l2_pf_enable = Output(Bool())
536  // Labeled XiangShan
537  val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter
538  // Load violation predictor
539  val lvpred_disable = Output(Bool())
540  val no_spec_load = Output(Bool())
541  val waittable_timeout = Output(UInt(5.W))
542  // Branch predictor
543  val bp_ctrl = Output(new BPUCtrl)
544  // Memory Block
545  val sbuffer_threshold = Output(UInt(4.W))
546}
547