xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision d077b11804222346941c6a7dc7188e89b46579a4)
1package xiangshan
2
3import chisel3._
4import chisel3.util._
5import bus.simplebus._
6import xiangshan.backend.brq.BrqPtr
7import xiangshan.backend.rename.FreeListPtr
8import xiangshan.frontend.PreDecodeInfo
9
10// Fetch FetchWidth x 32-bit insts from Icache
11class FetchPacket extends XSBundle {
12  val instrs = Vec(PredictWidth, UInt(32.W))
13  val mask = UInt(PredictWidth.W)
14  // val pc = UInt(VAddrBits.W)
15  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
16  val pnpc = Vec(PredictWidth, UInt(VAddrBits.W))
17  val brInfo = Vec(PredictWidth, new BranchInfo)
18  val pd = Vec(PredictWidth, new PreDecodeInfo)
19}
20
21class ValidUndirectioned[T <: Data](gen: T) extends Bundle {
22  val valid = Bool()
23  val bits = gen.cloneType.asInstanceOf[T]
24  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
25}
26
27object ValidUndirectioned {
28  def apply[T <: Data](gen: T) = {
29    new ValidUndirectioned[T](gen)
30  }
31}
32
33class TageMeta extends XSBundle {
34  def TageNTables = 6
35  val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
36  val altDiffers = Bool()
37  val providerU = UInt(2.W)
38  val providerCtr = UInt(3.W)
39  val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
40}
41
42class BranchPrediction extends XSBundle {
43  val redirect = Bool()
44  val taken = Bool()
45  val jmpIdx = UInt(log2Up(PredictWidth).W)
46  val hasNotTakenBrs = Bool()
47  val target = UInt(VAddrBits.W)
48  val saveHalfRVI = Bool()
49}
50
51class BranchInfo extends XSBundle {
52  val ubtbWriteWay = UInt(log2Up(UBtbWays).W)
53  val ubtbHits = Bool()
54  val btbWriteWay = UInt(log2Up(BtbWays).W)
55  val bimCtr = UInt(2.W)
56  val histPtr = UInt(log2Up(ExtHistoryLength).W)
57  val tageMeta = new TageMeta
58  val rasSp = UInt(log2Up(RasSize).W)
59  val rasTopCtr = UInt(8.W)
60
61  def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = {
62    this.histPtr := histPtr
63    this.tageMeta := tageMeta
64    this.rasSp := rasSp
65    this.rasTopCtr := rasTopCtr
66    this.asUInt
67  }
68  def size = 0.U.asTypeOf(this).getWidth
69  def fromUInt(x: UInt) = x.asTypeOf(this)
70}
71
72class Predecode extends XSBundle {
73  val mask = UInt((FetchWidth*2).W)
74  val pd = Vec(FetchWidth*2, (new PreDecodeInfo))
75}
76
77class BranchUpdateInfo extends XSBundle {
78  // from backend
79  val pc = UInt(VAddrBits.W)
80  val pnpc = UInt(VAddrBits.W)
81  val target = UInt(VAddrBits.W)
82  val brTarget = UInt(VAddrBits.W)
83  val taken = Bool()
84  val fetchIdx = UInt(log2Up(FetchWidth*2).W)
85  val isMisPred = Bool()
86
87  // frontend -> backend -> frontend
88  val pd = new PreDecodeInfo
89  val brInfo = new BranchInfo
90}
91
92// Dequeue DecodeWidth insts from Ibuffer
93class CtrlFlow extends XSBundle {
94  val instr = UInt(32.W)
95  val pc = UInt(VAddrBits.W)
96  val exceptionVec = Vec(16, Bool())
97  val intrVec = Vec(12, Bool())
98  val brUpdate = new BranchUpdateInfo
99  val crossPageIPFFix = Bool()
100}
101
102// Decode DecodeWidth insts at Decode Stage
103class CtrlSignals extends XSBundle {
104  val src1Type, src2Type, src3Type = SrcType()
105  val lsrc1, lsrc2, lsrc3 = UInt(5.W)
106  val ldest = UInt(5.W)
107  val fuType = FuType()
108  val fuOpType = FuOpType()
109  val rfWen = Bool()
110  val fpWen = Bool()
111  val isXSTrap = Bool()
112  val noSpecExec = Bool()  // This inst can not be speculated
113  val isBlocked  = Bool()  // This inst requires pipeline to be blocked
114  val isRVF = Bool()
115  val imm = UInt(XLEN.W)
116}
117
118class CfCtrl extends XSBundle {
119  val cf = new CtrlFlow
120  val ctrl = new CtrlSignals
121  val brTag = new BrqPtr
122}
123
124trait HasRoqIdx { this: HasXSParameter =>
125  val roqIdx = UInt(RoqIdxWidth.W)
126  def needFlush(redirect: Valid[Redirect]): Bool = {
127    redirect.valid && Mux(
128      this.roqIdx.head(1) === redirect.bits.roqIdx.head(1),
129      this.roqIdx.tail(1) > redirect.bits.roqIdx.tail(1),
130      this.roqIdx.tail(1) < redirect.bits.roqIdx.tail(1)
131    )
132  }
133}
134
135// CfCtrl -> MicroOp at Rename Stage
136class MicroOp extends CfCtrl with HasRoqIdx {
137  val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W)
138  val src1State, src2State, src3State = SrcState()
139}
140
141class Redirect extends XSBundle with HasRoqIdx {
142  val isException = Bool()
143  val isMisPred = Bool()
144  val isReplay = Bool()
145  val pc = UInt(VAddrBits.W)
146  val target = UInt(VAddrBits.W)
147  val brTag = new BrqPtr
148}
149
150class Dp1ToDp2IO extends XSBundle {
151  val intDqToDp2 = Vec(IntDqDeqWidth, DecoupledIO(new MicroOp))
152  val fpDqToDp2 = Vec(FpDqDeqWidth, DecoupledIO(new MicroOp))
153  val lsDqToDp2 = Vec(LsDqDeqWidth, DecoupledIO(new MicroOp))
154}
155
156class DebugBundle extends XSBundle{
157  val isMMIO = Bool()
158}
159
160class ExuInput extends XSBundle {
161  val uop = new MicroOp
162  val src1, src2, src3 = UInt(XLEN.W)
163}
164
165class ExuOutput extends XSBundle {
166  val uop = new MicroOp
167  val data = UInt(XLEN.W)
168  val redirectValid = Bool()
169  val redirect = new Redirect
170  val brUpdate = new BranchUpdateInfo
171  val debug = new DebugBundle
172}
173
174class ExuIO extends XSBundle {
175  val in = Flipped(DecoupledIO(new ExuInput))
176  val redirect = Flipped(ValidIO(new Redirect))
177  val out = DecoupledIO(new ExuOutput)
178  // for csr
179  val exception = Flipped(ValidIO(new MicroOp))
180  // for Lsu
181  val dmem = new SimpleBusUC
182  val scommit = Input(UInt(3.W))
183}
184
185class RoqCommit extends XSBundle {
186  val uop = new MicroOp
187  val isWalk = Bool()
188}
189
190class FrontendToBackendIO extends XSBundle {
191  // to backend end
192  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
193  // from backend
194  val redirect = Flipped(ValidIO(new Redirect))
195  val outOfOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
196  val inOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
197}
198