1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util.BitPat.bitPatToUInt 22import chisel3.util._ 23import utility._ 24import utils._ 25import xiangshan.backend.decode.{ImmUnion, XDecode} 26import xiangshan.backend.fu.FuType 27import xiangshan.backend.rob.RobPtr 28import xiangshan.frontend._ 29import xiangshan.mem.{LqPtr, SqPtr} 30import xiangshan.backend.Bundles.{DynInst, UopIdx} 31import xiangshan.backend.fu.vector.Bundles.VType 32import xiangshan.frontend.{AllAheadFoldedHistoryOldestBits, AllFoldedHistories, BPUCtrl, CGHPtr, FtqPtr, FtqToCtrlIO} 33import xiangshan.frontend.{Ftq_Redirect_SRAMEntry, HasBPUParameter, IfuToBackendIO, PreDecodeInfo, RASPtr} 34import xiangshan.cache.HasDCacheParameters 35import utility._ 36 37import org.chipsalliance.cde.config.Parameters 38import chisel3.util.BitPat.bitPatToUInt 39import chisel3.util.experimental.decode.EspressoMinimizer 40import xiangshan.backend.CtrlToFtqIO 41import xiangshan.backend.fu.PMPEntry 42import xiangshan.frontend.Ftq_Redirect_SRAMEntry 43import xiangshan.frontend.AllFoldedHistories 44import xiangshan.frontend.AllAheadFoldedHistoryOldestBits 45import xiangshan.frontend.RASPtr 46import xiangshan.backend.rob.RobBundles.RobCommitEntryBundle 47 48class ValidUndirectioned[T <: Data](gen: T) extends Bundle { 49 val valid = Bool() 50 val bits = gen.cloneType.asInstanceOf[T] 51 52} 53 54object ValidUndirectioned { 55 def apply[T <: Data](gen: T) = { 56 new ValidUndirectioned[T](gen) 57 } 58} 59 60object RSFeedbackType { 61 val lrqFull = 0.U(4.W) 62 val tlbMiss = 1.U(4.W) 63 val mshrFull = 2.U(4.W) 64 val dataInvalid = 3.U(4.W) 65 val bankConflict = 4.U(4.W) 66 val ldVioCheckRedo = 5.U(4.W) 67 val feedbackInvalid = 7.U(4.W) 68 val issueSuccess = 8.U(4.W) 69 val rfArbitFail = 9.U(4.W) 70 val fuIdle = 10.U(4.W) 71 val fuBusy = 11.U(4.W) 72 val fuUncertain = 12.U(4.W) 73 74 val allTypes = 16 75 def apply() = UInt(4.W) 76 77 def isStageSuccess(feedbackType: UInt) = { 78 feedbackType === issueSuccess 79 } 80 81 def isBlocked(feedbackType: UInt) = { 82 feedbackType === rfArbitFail || feedbackType === fuBusy || feedbackType >= lrqFull && feedbackType <= feedbackInvalid 83 } 84} 85 86class PredictorAnswer(implicit p: Parameters) extends XSBundle { 87 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 88 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 89 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 90} 91 92class CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 93 // from backend 94 val pc = UInt(VAddrBits.W) 95 // frontend -> backend -> frontend 96 val pd = new PreDecodeInfo 97 val ssp = UInt(log2Up(RasSize).W) 98 val sctr = UInt(log2Up(RasCtrSize).W) 99 val TOSW = new RASPtr 100 val TOSR = new RASPtr 101 val NOS = new RASPtr 102 val topAddr = UInt(VAddrBits.W) 103 // val hist = new ShiftingGlobalHistory 104 val folded_hist = new AllFoldedHistories(foldedGHistInfos) 105 val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos) 106 val lastBrNumOH = UInt((numBr+1).W) 107 val ghr = UInt(UbtbGHRLength.W) 108 val histPtr = new CGHPtr 109 val specCnt = Vec(numBr, UInt(10.W)) 110 // need pipeline update 111 val br_hit = Bool() // if in ftb entry 112 val jr_hit = Bool() // if in ftb entry 113 val sc_hit = Bool() // if used in ftb entry, invalid if !br_hit 114 val predTaken = Bool() 115 val target = UInt(VAddrBits.W) 116 val taken = Bool() 117 val isMisPred = Bool() 118 val shift = UInt((log2Ceil(numBr)+1).W) 119 val addIntoHist = Bool() 120 121 def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = { 122 // this.hist := entry.ghist 123 this.histPtr := entry.histPtr 124 this.ssp := entry.ssp 125 this.sctr := entry.sctr 126 this.TOSW := entry.TOSW 127 this.TOSR := entry.TOSR 128 this.NOS := entry.NOS 129 this.topAddr := entry.topAddr 130 this 131 } 132} 133 134// Dequeue DecodeWidth insts from Ibuffer 135class CtrlFlow(implicit p: Parameters) extends XSBundle { 136 val instr = UInt(32.W) 137 val pc = UInt(VAddrBits.W) 138 val foldpc = UInt(MemPredPCWidth.W) 139 val exceptionVec = ExceptionVec() 140 val trigger = new TriggerCf 141 val pd = new PreDecodeInfo 142 val pred_taken = Bool() 143 val crossPageIPFFix = Bool() 144 val storeSetHit = Bool() // inst has been allocated an store set 145 val waitForRobIdx = new RobPtr // store set predicted previous store robIdx 146 // Load wait is needed 147 // load inst will not be executed until former store (predicted by mdp) addr calcuated 148 val loadWaitBit = Bool() 149 // If (loadWaitBit && loadWaitStrict), strict load wait is needed 150 // load inst will not be executed until ALL former store addr calcuated 151 val loadWaitStrict = Bool() 152 val ssid = UInt(SSIDWidth.W) 153 val ftqPtr = new FtqPtr 154 val ftqOffset = UInt(log2Up(PredictWidth).W) 155} 156 157 158class FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 159 val isAddSub = Bool() // swap23 160 val typeTagIn = UInt(1.W) 161 val typeTagOut = UInt(1.W) 162 val fromInt = Bool() 163 val wflags = Bool() 164 val fpWen = Bool() 165 val fmaCmd = UInt(2.W) 166 val div = Bool() 167 val sqrt = Bool() 168 val fcvt = Bool() 169 val typ = UInt(2.W) 170 val fmt = UInt(2.W) 171 val ren3 = Bool() //TODO: remove SrcType.fp 172 val rm = UInt(3.W) 173} 174 175// Decode DecodeWidth insts at Decode Stage 176class CtrlSignals(implicit p: Parameters) extends XSBundle { 177 val debug_globalID = UInt(XLEN.W) 178 val srcType = Vec(4, SrcType()) 179 val lsrc = Vec(4, UInt(LogicRegsWidth.W)) 180 val ldest = UInt(LogicRegsWidth.W) 181 val fuType = FuType() 182 val fuOpType = FuOpType() 183 val rfWen = Bool() 184 val fpWen = Bool() 185 val vecWen = Bool() 186 val isXSTrap = Bool() 187 val noSpecExec = Bool() // wait forward 188 val blockBackward = Bool() // block backward 189 val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 190 val uopSplitType = UopSplitType() 191 val selImm = SelImm() 192 val imm = UInt(32.W) 193 val commitType = CommitType() 194 val fpu = new FPUCtrlSignals 195 val uopIdx = UopIdx() 196 val isMove = Bool() 197 val vm = Bool() 198 val singleStep = Bool() 199 // This inst will flush all the pipe when it is the oldest inst in ROB, 200 // then replay from this inst itself 201 val replayInst = Bool() 202 val canRobCompress = Bool() 203 204 private def allSignals = srcType.take(3) ++ Seq(fuType, fuOpType, rfWen, fpWen, vecWen, 205 isXSTrap, noSpecExec, blockBackward, flushPipe, canRobCompress, uopSplitType, selImm) 206 207 def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = { 208 val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table, EspressoMinimizer) 209 allSignals zip decoder foreach { case (s, d) => s := d } 210 commitType := DontCare 211 this 212 } 213 214 def decode(bit: List[BitPat]): CtrlSignals = { 215 allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d } 216 this 217 } 218 219 def isWFI: Bool = fuType === FuType.csr.U && fuOpType === CSROpType.wfi 220 def isSoftPrefetch: Bool = { 221 fuType === FuType.alu.U && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U 222 } 223 def needWriteRf: Bool = (rfWen && ldest =/= 0.U) || fpWen || vecWen 224 def isHyperInst: Bool = { 225 fuType === FuType.ldu.U && LSUOpType.isHlv(fuOpType) || fuType === FuType.stu.U && LSUOpType.isHsv(fuOpType) 226 } 227} 228 229class CfCtrl(implicit p: Parameters) extends XSBundle { 230 val cf = new CtrlFlow 231 val ctrl = new CtrlSignals 232} 233 234class PerfDebugInfo(implicit p: Parameters) extends XSBundle { 235 val eliminatedMove = Bool() 236 // val fetchTime = UInt(XLEN.W) 237 val renameTime = UInt(XLEN.W) 238 val dispatchTime = UInt(XLEN.W) 239 val enqRsTime = UInt(XLEN.W) 240 val selectTime = UInt(XLEN.W) 241 val issueTime = UInt(XLEN.W) 242 val writebackTime = UInt(XLEN.W) 243 // val commitTime = UInt(XLEN.W) 244 val runahead_checkpoint_id = UInt(XLEN.W) 245 val tlbFirstReqTime = UInt(XLEN.W) 246 val tlbRespTime = UInt(XLEN.W) // when getting hit result (including delay in L2TLB hit) 247} 248 249// Separate LSQ 250class LSIdx(implicit p: Parameters) extends XSBundle { 251 val lqIdx = new LqPtr 252 val sqIdx = new SqPtr 253} 254 255// CfCtrl -> MicroOp at Rename Stage 256class MicroOp(implicit p: Parameters) extends CfCtrl { 257 val srcState = Vec(4, SrcState()) 258 val psrc = Vec(4, UInt(PhyRegIdxWidth.W)) 259 val pdest = UInt(PhyRegIdxWidth.W) 260 val robIdx = new RobPtr 261 val instrSize = UInt(log2Ceil(RenameWidth + 1).W) 262 val lqIdx = new LqPtr 263 val sqIdx = new SqPtr 264 val eliminatedMove = Bool() 265 val snapshot = Bool() 266 val debugInfo = new PerfDebugInfo 267 def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = { 268 val stateReady = srcState(index) === SrcState.rdy || ignoreState.B 269 val readReg = if (isFp) { 270 ctrl.srcType(index) === SrcType.fp 271 } else { 272 ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U 273 } 274 readReg && stateReady 275 } 276 def srcIsReady: Vec[Bool] = { 277 VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy }) 278 } 279 def clearExceptions( 280 exceptionBits: Seq[Int] = Seq(), 281 flushPipe: Boolean = false, 282 replayInst: Boolean = false 283 ): MicroOp = { 284 cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B) 285 if (!flushPipe) { ctrl.flushPipe := false.B } 286 if (!replayInst) { ctrl.replayInst := false.B } 287 this 288 } 289} 290 291class XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle { 292 val uop = new DynInst 293} 294 295class MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp { 296 val flag = UInt(1.W) 297} 298 299class Redirect(implicit p: Parameters) extends XSBundle { 300 val isRVC = Bool() 301 val robIdx = new RobPtr 302 val ftqIdx = new FtqPtr 303 val ftqOffset = UInt(log2Up(PredictWidth).W) 304 val level = RedirectLevel() 305 val interrupt = Bool() 306 val cfiUpdate = new CfiUpdateInfo 307 308 val stFtqIdx = new FtqPtr // for load violation predict 309 val stFtqOffset = UInt(log2Up(PredictWidth).W) 310 311 val debug_runahead_checkpoint_id = UInt(64.W) 312 val debugIsCtrl = Bool() 313 val debugIsMemVio = Bool() 314 315 def flushItself() = RedirectLevel.flushItself(level) 316} 317 318class ResetPregStateReq(implicit p: Parameters) extends XSBundle { 319 // NOTE: set isInt and isFp both to 'false' when invalid 320 val isInt = Bool() 321 val isFp = Bool() 322 val isVec = Bool() 323 val isV0 = Bool() 324 val isVl = Bool() 325 val preg = UInt(PhyRegIdxWidth.W) 326} 327 328class DebugBundle(implicit p: Parameters) extends XSBundle { 329 val isMMIO = Bool() 330 val isPerfCnt = Bool() 331 val paddr = UInt(PAddrBits.W) 332 val vaddr = UInt(VAddrBits.W) 333 /* add L/S inst info in EXU */ 334 // val L1toL2TlbLatency = UInt(XLEN.W) 335 // val levelTlbHit = UInt(2.W) 336} 337 338class ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 339 val mtip = Input(Bool()) 340 val msip = Input(Bool()) 341 val meip = Input(Bool()) 342 val seip = Input(Bool()) 343 val debug = Input(Bool()) 344} 345 346class CSRSpecialIO(implicit p: Parameters) extends XSBundle { 347 val exception = Flipped(ValidIO(new DynInst)) 348 val isInterrupt = Input(Bool()) 349 val memExceptionVAddr = Input(UInt(VAddrBits.W)) 350 val trapTarget = Output(UInt(VAddrBits.W)) 351 val externalInterrupt = new ExternalInterruptIO 352 val interrupt = Output(Bool()) 353} 354 355class DiffCommitIO(implicit p: Parameters) extends XSBundle { 356 val isCommit = Bool() 357 val commitValid = Vec(CommitWidth * MaxUopSize, Bool()) 358 359 val info = Vec(CommitWidth * MaxUopSize, new RabCommitInfo) 360} 361 362class RobCommitInfo(implicit p: Parameters) extends RobCommitEntryBundle 363 364class RobCommitIO(implicit p: Parameters) extends XSBundle { 365 val isCommit = Bool() 366 val commitValid = Vec(CommitWidth, Bool()) 367 368 val isWalk = Bool() 369 // valid bits optimized for walk 370 val walkValid = Vec(CommitWidth, Bool()) 371 372 val info = Vec(CommitWidth, new RobCommitInfo) 373 val robIdx = Vec(CommitWidth, new RobPtr) 374 375 def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR 376 def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR 377} 378 379class RabCommitInfo(implicit p: Parameters) extends XSBundle { 380 val ldest = UInt(LogicRegsWidth.W) 381 val pdest = UInt(PhyRegIdxWidth.W) 382 val rfWen = Bool() 383 val fpWen = Bool() 384 val vecWen = Bool() 385 val v0Wen = Bool() 386 val vlWen = Bool() 387 val isMove = Bool() 388} 389 390class RabCommitIO(implicit p: Parameters) extends XSBundle { 391 val isCommit = Bool() 392 val commitValid = Vec(RabCommitWidth, Bool()) 393 394 val isWalk = Bool() 395 // valid bits optimized for walk 396 val walkValid = Vec(RabCommitWidth, Bool()) 397 398 val info = Vec(RabCommitWidth, new RabCommitInfo) 399 val robIdx = OptionWrapper(!env.FPGAPlatform, Vec(RabCommitWidth, new RobPtr)) 400 401 def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR 402 def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR 403} 404 405class SnapshotPort(implicit p: Parameters) extends XSBundle { 406 val snptEnq = Bool() 407 val snptDeq = Bool() 408 val useSnpt = Bool() 409 val snptSelect = UInt(log2Ceil(RenameSnapshotNum).W) 410 val flushVec = Vec(RenameSnapshotNum, Bool()) 411} 412 413class RSFeedback(isVector: Boolean = false)(implicit p: Parameters) extends XSBundle { 414 val robIdx = new RobPtr 415 val hit = Bool() 416 val flushState = Bool() 417 val sourceType = RSFeedbackType() 418 val dataInvalidSqIdx = new SqPtr 419 val sqIdx = new SqPtr 420 val lqIdx = new LqPtr 421} 422 423class MemRSFeedbackIO(isVector: Boolean = false)(implicit p: Parameters) extends XSBundle { 424 // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO 425 // for instance: MemRSFeedbackIO()(updateP) 426 val feedbackSlow = ValidIO(new RSFeedback(isVector)) // dcache miss queue full, dtlb miss 427 val feedbackFast = ValidIO(new RSFeedback(isVector)) // bank conflict 428} 429 430class LoadCancelIO(implicit p: Parameters) extends XSBundle { 431 val ld1Cancel = Bool() 432 val ld2Cancel = Bool() 433} 434 435class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle { 436 // to backend end 437 val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 438 val stallReason = new StallReasonIO(DecodeWidth) 439 val fromFtq = new FtqToCtrlIO 440 val fromIfu = new IfuToBackendIO 441 // from backend 442 val toFtq = Flipped(new CtrlToFtqIO) 443 val canAccept = Input(Bool()) 444} 445 446class SatpStruct(implicit p: Parameters) extends XSBundle { 447 val mode = UInt(4.W) 448 val asid = UInt(16.W) 449 val ppn = UInt(44.W) 450} 451 452class TlbSatpBundle(implicit p: Parameters) extends SatpStruct { 453 val changed = Bool() 454 455 def apply(satp_value: UInt): Unit = { 456 require(satp_value.getWidth == XLEN) 457 val sa = satp_value.asTypeOf(new SatpStruct) 458 mode := sa.mode 459 asid := sa.asid 460 ppn := Cat(0.U((44-PAddrBits).W), sa.ppn(PAddrBits-1, 0)).asUInt 461 changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush 462 } 463} 464 465class TlbCsrBundle(implicit p: Parameters) extends XSBundle { 466 val satp = new TlbSatpBundle() 467 val vsatp = new TlbSatpBundle() 468 val hgatp = new TlbSatpBundle() 469 val priv = new Bundle { 470 val mxr = Bool() 471 val sum = Bool() 472 val vmxr = Bool() 473 val vsum = Bool() 474 val virt = Bool() 475 val spvp = UInt(1.W) 476 val imode = UInt(2.W) 477 val dmode = UInt(2.W) 478 } 479 480 override def toPrintable: Printable = { 481 p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 482 p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 483 } 484} 485 486class SfenceBundle(implicit p: Parameters) extends XSBundle { 487 val valid = Bool() 488 val bits = new Bundle { 489 val rs1 = Bool() 490 val rs2 = Bool() 491 val addr = UInt(VAddrBits.W) 492 val id = UInt((AsidLength).W) // asid or vmid 493 val flushPipe = Bool() 494 val hv = Bool() 495 val hg = Bool() 496 } 497 498 override def toPrintable: Printable = { 499 p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}" 500 } 501} 502 503// Bundle for load violation predictor updating 504class MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 505 val valid = Bool() 506 507 // wait table update 508 val waddr = UInt(MemPredPCWidth.W) 509 val wdata = Bool() // true.B by default 510 511 // store set update 512 // by default, ldpc/stpc should be xor folded 513 val ldpc = UInt(MemPredPCWidth.W) 514 val stpc = UInt(MemPredPCWidth.W) 515} 516 517class CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 518 // Prefetcher 519 val l1I_pf_enable = Output(Bool()) 520 val l2_pf_enable = Output(Bool()) 521 val l1D_pf_enable = Output(Bool()) 522 val l1D_pf_train_on_hit = Output(Bool()) 523 val l1D_pf_enable_agt = Output(Bool()) 524 val l1D_pf_enable_pht = Output(Bool()) 525 val l1D_pf_active_threshold = Output(UInt(4.W)) 526 val l1D_pf_active_stride = Output(UInt(6.W)) 527 val l1D_pf_enable_stride = Output(Bool()) 528 val l2_pf_store_only = Output(Bool()) 529 // ICache 530 val icache_parity_enable = Output(Bool()) 531 // Load violation predictor 532 val lvpred_disable = Output(Bool()) 533 val no_spec_load = Output(Bool()) 534 val storeset_wait_store = Output(Bool()) 535 val storeset_no_fast_wakeup = Output(Bool()) 536 val lvpred_timeout = Output(UInt(5.W)) 537 // Branch predictor 538 val bp_ctrl = Output(new BPUCtrl) 539 // Memory Block 540 val sbuffer_threshold = Output(UInt(4.W)) 541 val ldld_vio_check_enable = Output(Bool()) 542 val soft_prefetch_enable = Output(Bool()) 543 val cache_error_enable = Output(Bool()) 544 val uncache_write_outstanding_enable = Output(Bool()) 545 // Rename 546 val fusion_enable = Output(Bool()) 547 val wfi_enable = Output(Bool()) 548 // Decode 549 val svinval_enable = Output(Bool()) 550 551 // distribute csr write signal 552 val distribute_csr = new DistributedCSRIO() 553 // TODO: move it to a new bundle, since single step is not a custom control signal 554 val singlestep = Output(Bool()) 555 val frontend_trigger = new FrontendTdataDistributeIO() 556 val mem_trigger = new MemTdataDistributeIO() 557 // Virtualization Mode 558 val virtMode = Output(Bool()) 559} 560 561class DistributedCSRIO(implicit p: Parameters) extends XSBundle { 562 // CSR has been written by csr inst, copies of csr should be updated 563 val w = ValidIO(new Bundle { 564 val addr = Output(UInt(12.W)) 565 val data = Output(UInt(XLEN.W)) 566 }) 567} 568 569class DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle { 570 // Request csr to be updated 571 // 572 // Note that this request will ONLY update CSR Module it self, 573 // copies of csr will NOT be updated, use it with care! 574 // 575 // For each cycle, no more than 1 DistributedCSRUpdateReq is valid 576 val w = ValidIO(new Bundle { 577 val addr = Output(UInt(12.W)) 578 val data = Output(UInt(XLEN.W)) 579 }) 580 def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = { 581 when(valid){ 582 w.bits.addr := addr 583 w.bits.data := data 584 } 585 println("Distributed CSR update req registered for " + src_description) 586 } 587} 588 589class L1CacheErrorInfo(implicit p: Parameters) extends XSBundle { 590 // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR 591 val source = Output(new Bundle() { 592 val tag = Bool() // l1 tag array 593 val data = Bool() // l1 data array 594 val l2 = Bool() 595 }) 596 val opType = Output(new Bundle() { 597 val fetch = Bool() 598 val load = Bool() 599 val store = Bool() 600 val probe = Bool() 601 val release = Bool() 602 val atom = Bool() 603 }) 604 val paddr = Output(UInt(PAddrBits.W)) 605 606 // report error and paddr to beu 607 // bus error unit will receive error info iff ecc_error.valid 608 val report_to_beu = Output(Bool()) 609 610 def toL1BusErrorUnitInfo(valid: Bool): L1BusErrorUnitInfo = { 611 val beu_info = Wire(new L1BusErrorUnitInfo) 612 beu_info.ecc_error.valid := valid && report_to_beu 613 beu_info.ecc_error.bits := paddr 614 beu_info 615 } 616} 617 618class TriggerCf(implicit p: Parameters) extends XSBundle { 619 // frontend 620 val frontendHit = Vec(TriggerNum, Bool()) // en && hit 621 val frontendCanFire = Vec(TriggerNum, Bool()) 622 // backend 623 val backendHit = Vec(TriggerNum, Bool()) 624 val backendCanFire = Vec(TriggerNum, Bool()) 625 626 // Two situations not allowed: 627 // 1. load data comparison 628 // 2. store chaining with store 629 def getFrontendCanFire = frontendCanFire.reduce(_ || _) 630 def getBackendCanFire = backendCanFire.reduce(_ || _) 631 def canFire = getFrontendCanFire || getBackendCanFire 632 def clear(): Unit = { 633 frontendHit.foreach(_ := false.B) 634 frontendCanFire.foreach(_ := false.B) 635 backendHit.foreach(_ := false.B) 636 backendCanFire.foreach(_ := false.B) 637 } 638} 639 640// these 3 bundles help distribute trigger control signals from CSR 641// to Frontend, Load and Store. 642class FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle { 643 val tUpdate = ValidIO(new Bundle { 644 val addr = Output(UInt(log2Up(TriggerNum).W)) 645 val tdata = new MatchTriggerIO 646 }) 647 val tEnableVec: Vec[Bool] = Output(Vec(TriggerNum, Bool())) 648} 649 650class MemTdataDistributeIO(implicit p: Parameters) extends XSBundle { 651 val tUpdate = ValidIO(new Bundle { 652 val addr = Output(UInt(log2Up(TriggerNum).W)) 653 val tdata = new MatchTriggerIO 654 }) 655 val tEnableVec: Vec[Bool] = Output(Vec(TriggerNum, Bool())) 656} 657 658class MatchTriggerIO(implicit p: Parameters) extends XSBundle { 659 val matchType = Output(UInt(2.W)) 660 val select = Output(Bool()) 661 val timing = Output(Bool()) 662 val action = Output(Bool()) 663 val chain = Output(Bool()) 664 val execute = Output(Bool()) 665 val store = Output(Bool()) 666 val load = Output(Bool()) 667 val tdata2 = Output(UInt(64.W)) 668} 669 670class StallReasonIO(width: Int) extends Bundle { 671 val reason = Output(Vec(width, UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W))) 672 val backReason = Flipped(Valid(UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W))) 673} 674 675// custom l2 - l1 interface 676class L2ToL1Hint(implicit p: Parameters) extends XSBundle with HasDCacheParameters { 677 val sourceId = UInt(log2Up(cfg.nMissEntries).W) // tilelink sourceID -> mshr id 678 val isKeyword = Bool() // miss entry keyword -> L1 load queue replay 679} 680 681