1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan 18 19import chipsalliance.rocketchip.config.Parameters 20import chisel3._ 21import chisel3.util.BitPat.bitPatToUInt 22import chisel3.util._ 23import utility._ 24import utils._ 25import xiangshan.backend.ctrlblock.CtrlToFtqIO 26import xiangshan.backend.decode.{ImmUnion, XDecode} 27import xiangshan.backend.fu.FuType 28import xiangshan.backend.rob.RobPtr 29import xiangshan.frontend._ 30import xiangshan.mem.{LqPtr, SqPtr} 31import xiangshan.backend.Bundles.DynInst 32import xiangshan.backend.fu.vector.Bundles.VType 33 34class ValidUndirectioned[T <: Data](gen: T) extends Bundle { 35 val valid = Bool() 36 val bits = gen.cloneType.asInstanceOf[T] 37 38} 39 40object ValidUndirectioned { 41 def apply[T <: Data](gen: T) = { 42 new ValidUndirectioned[T](gen) 43 } 44} 45 46object RSFeedbackType { 47 val tlbMiss = 0.U(4.W) 48 val mshrFull = 1.U(4.W) 49 val dataInvalid = 2.U(4.W) 50 val bankConflict = 3.U(4.W) 51 val ldVioCheckRedo = 4.U(4.W) 52 val feedbackInvalid = 7.U(4.W) 53 val issueSuccess = 8.U(4.W) 54 val rfArbitFail = 9.U(4.W) 55 val fuIdle = 10.U(4.W) 56 val fuBusy = 11.U(4.W) 57 58 def apply() = UInt(4.W) 59 60 def isStageSuccess(feedbackType: UInt) = { 61 feedbackType === issueSuccess 62 } 63 64 def isBlocked(feedbackType: UInt) = { 65 feedbackType === rfArbitFail || feedbackType === fuBusy || feedbackType === feedbackInvalid 66 } 67} 68 69class PredictorAnswer(implicit p: Parameters) extends XSBundle { 70 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 71 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 72 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 73} 74 75class CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 76 // from backend 77 val pc = UInt(VAddrBits.W) 78 // frontend -> backend -> frontend 79 val pd = new PreDecodeInfo 80 val rasSp = UInt(log2Up(RasSize).W) 81 val rasEntry = new RASEntry 82 // val hist = new ShiftingGlobalHistory 83 val folded_hist = new AllFoldedHistories(foldedGHistInfos) 84 val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos) 85 val lastBrNumOH = UInt((numBr+1).W) 86 val ghr = UInt(UbtbGHRLength.W) 87 val histPtr = new CGHPtr 88 val specCnt = Vec(numBr, UInt(10.W)) 89 // need pipeline update 90 val br_hit = Bool() 91 val predTaken = Bool() 92 val target = UInt(VAddrBits.W) 93 val taken = Bool() 94 val isMisPred = Bool() 95 val shift = UInt((log2Ceil(numBr)+1).W) 96 val addIntoHist = Bool() 97 98 def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = { 99 // this.hist := entry.ghist 100 this.folded_hist := entry.folded_hist 101 this.lastBrNumOH := entry.lastBrNumOH 102 this.afhob := entry.afhob 103 this.histPtr := entry.histPtr 104 this.rasSp := entry.rasSp 105 this.rasEntry := entry.rasTop 106 this 107 } 108} 109 110// Dequeue DecodeWidth insts from Ibuffer 111class CtrlFlow(implicit p: Parameters) extends XSBundle { 112 val instr = UInt(32.W) 113 val pc = UInt(VAddrBits.W) 114 val foldpc = UInt(MemPredPCWidth.W) 115 val exceptionVec = ExceptionVec() 116 val trigger = new TriggerCf 117 val pd = new PreDecodeInfo 118 val pred_taken = Bool() 119 val crossPageIPFFix = Bool() 120 val storeSetHit = Bool() // inst has been allocated an store set 121 val waitForRobIdx = new RobPtr // store set predicted previous store robIdx 122 // Load wait is needed 123 // load inst will not be executed until former store (predicted by mdp) addr calcuated 124 val loadWaitBit = Bool() 125 // If (loadWaitBit && loadWaitStrict), strict load wait is needed 126 // load inst will not be executed until ALL former store addr calcuated 127 val loadWaitStrict = Bool() 128 val ssid = UInt(SSIDWidth.W) 129 val ftqPtr = new FtqPtr 130 val ftqOffset = UInt(log2Up(PredictWidth).W) 131} 132 133 134class FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 135 val isAddSub = Bool() // swap23 136 val typeTagIn = UInt(1.W) 137 val typeTagOut = UInt(1.W) 138 val fromInt = Bool() 139 val wflags = Bool() 140 val fpWen = Bool() 141 val fmaCmd = UInt(2.W) 142 val div = Bool() 143 val sqrt = Bool() 144 val fcvt = Bool() 145 val typ = UInt(2.W) 146 val fmt = UInt(2.W) 147 val ren3 = Bool() //TODO: remove SrcType.fp 148 val rm = UInt(3.W) 149} 150 151// Decode DecodeWidth insts at Decode Stage 152class CtrlSignals(implicit p: Parameters) extends XSBundle { 153 val debug_globalID = UInt(XLEN.W) 154 val srcType = Vec(4, SrcType()) 155 val lsrc = Vec(4, UInt(6.W)) 156 val ldest = UInt(6.W) 157 val fuType = FuType() 158 val fuOpType = FuOpType() 159 val rfWen = Bool() 160 val fpWen = Bool() 161 val vecWen = Bool() 162 val isXSTrap = Bool() 163 val noSpecExec = Bool() // wait forward 164 val blockBackward = Bool() // block backward 165 val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 166 val uopDivType = UopDivType() 167 val selImm = SelImm() 168 val imm = UInt(ImmUnion.maxLen.W) 169 val commitType = CommitType() 170 val fpu = new FPUCtrlSignals 171 val uopIdx = UInt(5.W) 172 val isMove = Bool() 173 val singleStep = Bool() 174 // This inst will flush all the pipe when it is the oldest inst in ROB, 175 // then replay from this inst itself 176 val replayInst = Bool() 177 178 private def allSignals = srcType.take(3) ++ Seq(fuType, fuOpType, rfWen, fpWen, vecWen, 179 isXSTrap, noSpecExec, blockBackward, flushPipe, uopDivType, selImm) 180 181 def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = { 182 val decoder: Seq[UInt] = ListLookup( 183 inst, XDecode.decodeDefault.map(bitPatToUInt), 184 table.map{ case (pat, pats) => (pat, pats.map(bitPatToUInt)) }.toArray 185 ) 186 allSignals zip decoder foreach { case (s, d) => s := d } 187 commitType := DontCare 188 this 189 } 190 191 def decode(bit: List[BitPat]): CtrlSignals = { 192 allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d } 193 this 194 } 195 196 def isWFI: Bool = fuType === FuType.csr.U && fuOpType === CSROpType.wfi 197 def isSoftPrefetch: Bool = { 198 fuType === FuType.alu.U && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U 199 } 200} 201 202class CfCtrl(implicit p: Parameters) extends XSBundle { 203 val cf = new CtrlFlow 204 val ctrl = new CtrlSignals 205} 206 207class PerfDebugInfo(implicit p: Parameters) extends XSBundle { 208 val eliminatedMove = Bool() 209 // val fetchTime = UInt(XLEN.W) 210 val renameTime = UInt(XLEN.W) 211 val dispatchTime = UInt(XLEN.W) 212 val enqRsTime = UInt(XLEN.W) 213 val selectTime = UInt(XLEN.W) 214 val issueTime = UInt(XLEN.W) 215 val writebackTime = UInt(XLEN.W) 216 // val commitTime = UInt(XLEN.W) 217 val runahead_checkpoint_id = UInt(XLEN.W) 218 val tlbFirstReqTime = UInt(XLEN.W) 219 val tlbRespTime = UInt(XLEN.W) // when getting hit result (including delay in L2TLB hit) 220} 221 222// Separate LSQ 223class LSIdx(implicit p: Parameters) extends XSBundle { 224 val lqIdx = new LqPtr 225 val sqIdx = new SqPtr 226} 227 228// CfCtrl -> MicroOp at Rename Stage 229class MicroOp(implicit p: Parameters) extends CfCtrl { 230 val srcState = Vec(4, SrcState()) 231 val psrc = Vec(4, UInt(PhyRegIdxWidth.W)) 232 val pdest = UInt(PhyRegIdxWidth.W) 233 val old_pdest = UInt(PhyRegIdxWidth.W) 234 val robIdx = new RobPtr 235 val lqIdx = new LqPtr 236 val sqIdx = new SqPtr 237 val eliminatedMove = Bool() 238 val debugInfo = new PerfDebugInfo 239 def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = { 240 val stateReady = srcState(index) === SrcState.rdy || ignoreState.B 241 val readReg = if (isFp) { 242 ctrl.srcType(index) === SrcType.fp 243 } else { 244 ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U 245 } 246 readReg && stateReady 247 } 248 def srcIsReady: Vec[Bool] = { 249 VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy }) 250 } 251 def clearExceptions( 252 exceptionBits: Seq[Int] = Seq(), 253 flushPipe: Boolean = false, 254 replayInst: Boolean = false 255 ): MicroOp = { 256 cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B) 257 if (!flushPipe) { ctrl.flushPipe := false.B } 258 if (!replayInst) { ctrl.replayInst := false.B } 259 this 260 } 261} 262 263class Redirect(implicit p: Parameters) extends XSBundle { 264 val robIdx = new RobPtr 265 val ftqIdx = new FtqPtr 266 val ftqOffset = UInt(log2Up(PredictWidth).W) 267 val level = RedirectLevel() 268 val interrupt = Bool() 269 val cfiUpdate = new CfiUpdateInfo 270 271 val stFtqIdx = new FtqPtr // for load violation predict 272 val stFtqOffset = UInt(log2Up(PredictWidth).W) 273 274 val debug_runahead_checkpoint_id = UInt(64.W) 275 276 def flushItself() = RedirectLevel.flushItself(level) 277} 278 279class ResetPregStateReq(implicit p: Parameters) extends XSBundle { 280 // NOTE: set isInt and isFp both to 'false' when invalid 281 val isInt = Bool() 282 val isFp = Bool() 283 val preg = UInt(PhyRegIdxWidth.W) 284} 285 286class DebugBundle(implicit p: Parameters) extends XSBundle { 287 val isMMIO = Bool() 288 val isPerfCnt = Bool() 289 val paddr = UInt(PAddrBits.W) 290 val vaddr = UInt(VAddrBits.W) 291 /* add L/S inst info in EXU */ 292 // val L1toL2TlbLatency = UInt(XLEN.W) 293 // val levelTlbHit = UInt(2.W) 294} 295 296class ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 297 val mtip = Input(Bool()) 298 val msip = Input(Bool()) 299 val meip = Input(Bool()) 300 val seip = Input(Bool()) 301 val debug = Input(Bool()) 302} 303 304class CSRSpecialIO(implicit p: Parameters) extends XSBundle { 305 val exception = Flipped(ValidIO(new DynInst)) 306 val isInterrupt = Input(Bool()) 307 val memExceptionVAddr = Input(UInt(VAddrBits.W)) 308 val trapTarget = Output(UInt(VAddrBits.W)) 309 val externalInterrupt = new ExternalInterruptIO 310 val interrupt = Output(Bool()) 311} 312 313class RabCommitInfo(implicit p: Parameters) extends XSBundle { 314 val ldest = UInt(6.W) 315 val pdest = UInt(PhyRegIdxWidth.W) 316 val old_pdest = UInt(PhyRegIdxWidth.W) 317 val rfWen = Bool() 318 val fpWen = Bool() 319 val vecWen = Bool() 320 val isMove = Bool() 321} 322 323class RabCommitIO(implicit p: Parameters) extends XSBundle { 324 val isCommit = Bool() 325 val commitValid = Vec(CommitWidth, Bool()) 326 val isWalk = Bool() 327 val walkValid = Vec(CommitWidth, Bool()) 328 val info = Vec(CommitWidth, new RabCommitInfo) 329} 330 331class DiffCommitIO(implicit p: Parameters) extends XSBundle { 332 val isCommit = Bool() 333 val commitValid = Vec(CommitWidth * MaxUopSize, Bool()) 334 335 val info = Vec(CommitWidth * MaxUopSize, new RobCommitInfo) 336} 337 338class RobCommitInfo(implicit p: Parameters) extends XSBundle { 339 val ldest = UInt(6.W) 340 val rfWen = Bool() 341 val fpWen = Bool() 342 val vecWen = Bool() 343 val wflags = Bool() 344 val commitType = CommitType() 345 val pdest = UInt(PhyRegIdxWidth.W) 346 val old_pdest = UInt(PhyRegIdxWidth.W) 347 val ftqIdx = new FtqPtr 348 val ftqOffset = UInt(log2Up(PredictWidth).W) 349 val isMove = Bool() 350 val isVset = Bool() 351 val vtype = new VType 352 353 // these should be optimized for synthesis verilog 354 val pc = UInt(VAddrBits.W) 355} 356 357class RobCommitIO(implicit p: Parameters) extends XSBundle { 358 val isCommit = Bool() 359 val commitValid = Vec(CommitWidth, Bool()) 360 361 val isWalk = Bool() 362 // valid bits optimized for walk 363 val walkValid = Vec(CommitWidth, Bool()) 364 365 val info = Vec(CommitWidth, new RobCommitInfo) 366 367 def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR 368 def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR 369} 370 371class RSFeedback(implicit p: Parameters) extends XSBundle { 372 val rsIdx = UInt(log2Up(IQSizeMax).W) 373 val hit = Bool() 374 val flushState = Bool() 375 val sourceType = RSFeedbackType() 376 val dataInvalidSqIdx = new SqPtr 377} 378 379class MemRSFeedbackIO(implicit p: Parameters) extends XSBundle { 380 // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO 381 // for instance: MemRSFeedbackIO()(updateP) 382 val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss 383 val feedbackFast = ValidIO(new RSFeedback()) // bank conflict 384} 385 386class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle { 387 // to backend end 388 val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 389 val fromFtq = new FtqToCtrlIO 390 // from backend 391 val toFtq = Flipped(new CtrlToFtqIO) 392} 393 394class SatpStruct(implicit p: Parameters) extends XSBundle { 395 val mode = UInt(4.W) 396 val asid = UInt(16.W) 397 val ppn = UInt(44.W) 398} 399 400class TlbSatpBundle(implicit p: Parameters) extends SatpStruct { 401 val changed = Bool() 402 403 def apply(satp_value: UInt): Unit = { 404 require(satp_value.getWidth == XLEN) 405 val sa = satp_value.asTypeOf(new SatpStruct) 406 mode := sa.mode 407 asid := sa.asid 408 ppn := Cat(0.U(44-PAddrBits), sa.ppn(PAddrBits-1, 0)).asUInt() 409 changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush 410 } 411} 412 413class TlbCsrBundle(implicit p: Parameters) extends XSBundle { 414 val satp = new TlbSatpBundle() 415 val priv = new Bundle { 416 val mxr = Bool() 417 val sum = Bool() 418 val imode = UInt(2.W) 419 val dmode = UInt(2.W) 420 } 421 422 override def toPrintable: Printable = { 423 p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 424 p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 425 } 426} 427 428class SfenceBundle(implicit p: Parameters) extends XSBundle { 429 val valid = Bool() 430 val bits = new Bundle { 431 val rs1 = Bool() 432 val rs2 = Bool() 433 val addr = UInt(VAddrBits.W) 434 val asid = UInt(AsidLength.W) 435 val flushPipe = Bool() 436 } 437 438 override def toPrintable: Printable = { 439 p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}" 440 } 441} 442 443// Bundle for load violation predictor updating 444class MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 445 val valid = Bool() 446 447 // wait table update 448 val waddr = UInt(MemPredPCWidth.W) 449 val wdata = Bool() // true.B by default 450 451 // store set update 452 // by default, ldpc/stpc should be xor folded 453 val ldpc = UInt(MemPredPCWidth.W) 454 val stpc = UInt(MemPredPCWidth.W) 455} 456 457class CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 458 // Prefetcher 459 val l1I_pf_enable = Output(Bool()) 460 val l2_pf_enable = Output(Bool()) 461 val l1D_pf_enable = Output(Bool()) 462 val l1D_pf_train_on_hit = Output(Bool()) 463 val l1D_pf_enable_agt = Output(Bool()) 464 val l1D_pf_enable_pht = Output(Bool()) 465 val l1D_pf_active_threshold = Output(UInt(4.W)) 466 val l1D_pf_active_stride = Output(UInt(6.W)) 467 val l1D_pf_enable_stride = Output(Bool()) 468 val l2_pf_store_only = Output(Bool()) 469 // ICache 470 val icache_parity_enable = Output(Bool()) 471 // Labeled XiangShan 472 val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter 473 // Load violation predictor 474 val lvpred_disable = Output(Bool()) 475 val no_spec_load = Output(Bool()) 476 val storeset_wait_store = Output(Bool()) 477 val storeset_no_fast_wakeup = Output(Bool()) 478 val lvpred_timeout = Output(UInt(5.W)) 479 // Branch predictor 480 val bp_ctrl = Output(new BPUCtrl) 481 // Memory Block 482 val sbuffer_threshold = Output(UInt(4.W)) 483 val ldld_vio_check_enable = Output(Bool()) 484 val soft_prefetch_enable = Output(Bool()) 485 val cache_error_enable = Output(Bool()) 486 val uncache_write_outstanding_enable = Output(Bool()) 487 // Rename 488 val fusion_enable = Output(Bool()) 489 val wfi_enable = Output(Bool()) 490 // Decode 491 val svinval_enable = Output(Bool()) 492 493 // distribute csr write signal 494 val distribute_csr = new DistributedCSRIO() 495 496 val singlestep = Output(Bool()) 497 val frontend_trigger = new FrontendTdataDistributeIO() 498 val mem_trigger = new MemTdataDistributeIO() 499 val trigger_enable = Output(Vec(10, Bool())) 500} 501 502class DistributedCSRIO(implicit p: Parameters) extends XSBundle { 503 // CSR has been written by csr inst, copies of csr should be updated 504 val w = ValidIO(new Bundle { 505 val addr = Output(UInt(12.W)) 506 val data = Output(UInt(XLEN.W)) 507 }) 508} 509 510class DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle { 511 // Request csr to be updated 512 // 513 // Note that this request will ONLY update CSR Module it self, 514 // copies of csr will NOT be updated, use it with care! 515 // 516 // For each cycle, no more than 1 DistributedCSRUpdateReq is valid 517 val w = ValidIO(new Bundle { 518 val addr = Output(UInt(12.W)) 519 val data = Output(UInt(XLEN.W)) 520 }) 521 def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = { 522 when(valid){ 523 w.bits.addr := addr 524 w.bits.data := data 525 } 526 println("Distributed CSR update req registered for " + src_description) 527 } 528} 529 530class L1CacheErrorInfo(implicit p: Parameters) extends XSBundle { 531 // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR 532 val source = Output(new Bundle() { 533 val tag = Bool() // l1 tag array 534 val data = Bool() // l1 data array 535 val l2 = Bool() 536 }) 537 val opType = Output(new Bundle() { 538 val fetch = Bool() 539 val load = Bool() 540 val store = Bool() 541 val probe = Bool() 542 val release = Bool() 543 val atom = Bool() 544 }) 545 val paddr = Output(UInt(PAddrBits.W)) 546 547 // report error and paddr to beu 548 // bus error unit will receive error info iff ecc_error.valid 549 val report_to_beu = Output(Bool()) 550 551 // there is an valid error 552 // l1 cache error will always be report to CACHE_ERROR csr 553 val valid = Output(Bool()) 554 555 def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = { 556 val beu_info = Wire(new L1BusErrorUnitInfo) 557 beu_info.ecc_error.valid := report_to_beu 558 beu_info.ecc_error.bits := paddr 559 beu_info 560 } 561} 562 563/* TODO how to trigger on next inst? 5641. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep 5652. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set 566xret csr to pc + 4/ + 2 5672.5 The problem is to let it commit. This is the real TODO 5683. If it is load and hit before just treat it as regular load exception 569 */ 570 571// This bundle carries trigger hit info along the pipeline 572// Now there are 10 triggers divided into 5 groups of 2 573// These groups are 574// (if if) (store store) (load loid) (if store) (if load) 575 576// Triggers in the same group can chain, meaning that they only 577// fire is both triggers in the group matches (the triggerHitVec bit is asserted) 578// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i) 579// Timing of 0 means trap at current inst, 1 means trap at next inst 580// Chaining and timing and the validness of a trigger is controlled by csr 581// In two chained triggers, if they have different timing, both won't fire 582//class TriggerCf (implicit p: Parameters) extends XSBundle { 583// val triggerHitVec = Vec(10, Bool()) 584// val triggerTiming = Vec(10, Bool()) 585// val triggerChainVec = Vec(5, Bool()) 586//} 587 588class TriggerCf(implicit p: Parameters) extends XSBundle { 589 // frontend 590 val frontendHit = Vec(4, Bool()) 591// val frontendTiming = Vec(4, Bool()) 592// val frontendHitNext = Vec(4, Bool()) 593 594// val frontendException = Bool() 595 // backend 596 val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4) 597 val backendHit = Vec(6, Bool()) 598// val backendTiming = Vec(6, Bool()) // trigger enable fro chain 599 600 // Two situations not allowed: 601 // 1. load data comparison 602 // 2. store chaining with store 603 def getHitFrontend = frontendHit.reduce(_ || _) 604 def getHitBackend = backendHit.reduce(_ || _) 605 def hit = getHitFrontend || getHitBackend 606 def clear(): Unit = { 607 frontendHit.foreach(_ := false.B) 608 backendEn.foreach(_ := false.B) 609 backendHit.foreach(_ := false.B) 610 } 611} 612 613// these 3 bundles help distribute trigger control signals from CSR 614// to Frontend, Load and Store. 615class FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle { 616 val t = Valid(new Bundle { 617 val addr = Output(UInt(2.W)) 618 val tdata = new MatchTriggerIO 619 }) 620 } 621 622class MemTdataDistributeIO(implicit p: Parameters) extends XSBundle { 623 val t = Valid(new Bundle { 624 val addr = Output(UInt(3.W)) 625 val tdata = new MatchTriggerIO 626 }) 627} 628 629class MatchTriggerIO(implicit p: Parameters) extends XSBundle { 630 val matchType = Output(UInt(2.W)) 631 val select = Output(Bool()) 632 val timing = Output(Bool()) 633 val action = Output(Bool()) 634 val chain = Output(Bool()) 635 val tdata2 = Output(UInt(64.W)) 636} 637