1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan 18 19import chisel3._ 20import chisel3.util._ 21import xiangshan.backend.rob.RobPtr 22import xiangshan.backend.CtrlToFtqIO 23import xiangshan.backend.decode.{ImmUnion, XDecode} 24import xiangshan.mem.{LqPtr, SqPtr} 25import xiangshan.frontend.PreDecodeInfo 26import xiangshan.frontend.HasBPUParameter 27import xiangshan.frontend.{GlobalHistory, ShiftingGlobalHistory, CircularGlobalHistory, AllFoldedHistories} 28import xiangshan.frontend.RASEntry 29import xiangshan.frontend.BPUCtrl 30import xiangshan.frontend.FtqPtr 31import xiangshan.frontend.CGHPtr 32import xiangshan.frontend.FtqRead 33import xiangshan.frontend.FtqToCtrlIO 34import utils._ 35 36import scala.math.max 37import Chisel.experimental.chiselName 38import chipsalliance.rocketchip.config.Parameters 39import chisel3.util.BitPat.bitPatToUInt 40import xiangshan.backend.fu.PMPEntry 41import xiangshan.frontend.Ftq_Redirect_SRAMEntry 42import xiangshan.frontend.AllFoldedHistories 43import xiangshan.frontend.AllAheadFoldedHistoryOldestBits 44 45class ValidUndirectioned[T <: Data](gen: T) extends Bundle { 46 val valid = Bool() 47 val bits = gen.cloneType.asInstanceOf[T] 48 49} 50 51object ValidUndirectioned { 52 def apply[T <: Data](gen: T) = { 53 new ValidUndirectioned[T](gen) 54 } 55} 56 57object RSFeedbackType { 58 val tlbMiss = 0.U(3.W) 59 val mshrFull = 1.U(3.W) 60 val dataInvalid = 2.U(3.W) 61 val bankConflict = 3.U(3.W) 62 val ldVioCheckRedo = 4.U(3.W) 63 64 def apply() = UInt(3.W) 65} 66 67class PredictorAnswer(implicit p: Parameters) extends XSBundle { 68 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 69 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 70 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 71} 72 73class CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 74 // from backend 75 val pc = UInt(VAddrBits.W) 76 // frontend -> backend -> frontend 77 val pd = new PreDecodeInfo 78 val rasSp = UInt(log2Up(RasSize).W) 79 val rasEntry = new RASEntry 80 // val hist = new ShiftingGlobalHistory 81 val folded_hist = new AllFoldedHistories(foldedGHistInfos) 82 val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos) 83 val lastBrNumOH = UInt((numBr+1).W) 84 val ghr = UInt(UbtbGHRLength.W) 85 val histPtr = new CGHPtr 86 val specCnt = Vec(numBr, UInt(10.W)) 87 // need pipeline update 88 val br_hit = Bool() 89 val predTaken = Bool() 90 val target = UInt(VAddrBits.W) 91 val taken = Bool() 92 val isMisPred = Bool() 93 val shift = UInt((log2Ceil(numBr)+1).W) 94 val addIntoHist = Bool() 95 96 def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = { 97 // this.hist := entry.ghist 98 this.folded_hist := entry.folded_hist 99 this.lastBrNumOH := entry.lastBrNumOH 100 this.afhob := entry.afhob 101 this.histPtr := entry.histPtr 102 this.rasSp := entry.rasSp 103 this.rasEntry := entry.rasEntry 104 this 105 } 106} 107 108// Dequeue DecodeWidth insts from Ibuffer 109class CtrlFlow(implicit p: Parameters) extends XSBundle { 110 val instr = UInt(32.W) 111 val pc = UInt(VAddrBits.W) 112 val foldpc = UInt(MemPredPCWidth.W) 113 val exceptionVec = ExceptionVec() 114 val trigger = new TriggerCf 115 val intrVec = Vec(12, Bool()) 116 val pd = new PreDecodeInfo 117 val pred_taken = Bool() 118 val crossPageIPFFix = Bool() 119 val storeSetHit = Bool() // inst has been allocated an store set 120 val waitForRobIdx = new RobPtr // store set predicted previous store robIdx 121 // Load wait is needed 122 // load inst will not be executed until former store (predicted by mdp) addr calcuated 123 val loadWaitBit = Bool() 124 // If (loadWaitBit && loadWaitStrict), strict load wait is needed 125 // load inst will not be executed until ALL former store addr calcuated 126 val loadWaitStrict = Bool() 127 val ssid = UInt(SSIDWidth.W) 128 val ftqPtr = new FtqPtr 129 val ftqOffset = UInt(log2Up(PredictWidth).W) 130 // This inst will flush all the pipe when it is the oldest inst in ROB, 131 // then replay from this inst itself 132 val replayInst = Bool() 133} 134 135 136class FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 137 val isAddSub = Bool() // swap23 138 val typeTagIn = UInt(1.W) 139 val typeTagOut = UInt(1.W) 140 val fromInt = Bool() 141 val wflags = Bool() 142 val fpWen = Bool() 143 val fmaCmd = UInt(2.W) 144 val div = Bool() 145 val sqrt = Bool() 146 val fcvt = Bool() 147 val typ = UInt(2.W) 148 val fmt = UInt(2.W) 149 val ren3 = Bool() //TODO: remove SrcType.fp 150 val rm = UInt(3.W) 151} 152 153// Decode DecodeWidth insts at Decode Stage 154class CtrlSignals(implicit p: Parameters) extends XSBundle { 155 val srcType = Vec(3, SrcType()) 156 val lsrc = Vec(3, UInt(5.W)) 157 val ldest = UInt(5.W) 158 val fuType = FuType() 159 val fuOpType = FuOpType() 160 val rfWen = Bool() 161 val fpWen = Bool() 162 val isXSTrap = Bool() 163 val noSpecExec = Bool() // wait forward 164 val blockBackward = Bool() // block backward 165 val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 166 val isRVF = Bool() 167 val selImm = SelImm() 168 val imm = UInt(ImmUnion.maxLen.W) 169 val commitType = CommitType() 170 val fpu = new FPUCtrlSignals 171 val isMove = Bool() 172 val singleStep = Bool() 173 // This inst will flush all the pipe when it is the oldest inst in ROB, 174 // then replay from this inst itself 175 val replayInst = Bool() 176 177 private def allSignals = srcType ++ Seq(fuType, fuOpType, rfWen, fpWen, 178 isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm) 179 180 def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = { 181 val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table) 182 allSignals zip decoder foreach { case (s, d) => s := d } 183 commitType := DontCare 184 this 185 } 186 187 def decode(bit: List[BitPat]): CtrlSignals = { 188 allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d } 189 this 190 } 191 192 def isWFI: Bool = fuType === FuType.csr && fuOpType === CSROpType.wfi 193} 194 195class CfCtrl(implicit p: Parameters) extends XSBundle { 196 val cf = new CtrlFlow 197 val ctrl = new CtrlSignals 198} 199 200class PerfDebugInfo(implicit p: Parameters) extends XSBundle { 201 val eliminatedMove = Bool() 202 // val fetchTime = UInt(64.W) 203 val renameTime = UInt(XLEN.W) 204 val dispatchTime = UInt(XLEN.W) 205 val enqRsTime = UInt(XLEN.W) 206 val selectTime = UInt(XLEN.W) 207 val issueTime = UInt(XLEN.W) 208 val writebackTime = UInt(XLEN.W) 209 // val commitTime = UInt(64.W) 210 val runahead_checkpoint_id = UInt(64.W) 211} 212 213// Separate LSQ 214class LSIdx(implicit p: Parameters) extends XSBundle { 215 val lqIdx = new LqPtr 216 val sqIdx = new SqPtr 217} 218 219// CfCtrl -> MicroOp at Rename Stage 220class MicroOp(implicit p: Parameters) extends CfCtrl { 221 val srcState = Vec(3, SrcState()) 222 val psrc = Vec(3, UInt(PhyRegIdxWidth.W)) 223 val pdest = UInt(PhyRegIdxWidth.W) 224 val old_pdest = UInt(PhyRegIdxWidth.W) 225 val robIdx = new RobPtr 226 val lqIdx = new LqPtr 227 val sqIdx = new SqPtr 228 val eliminatedMove = Bool() 229 val debugInfo = new PerfDebugInfo 230 def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = { 231 isFp match { 232 case false => ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U && (srcState(index) === SrcState.rdy || ignoreState.B) 233 case _ => ctrl.srcType(index) === SrcType.fp && (srcState(index) === SrcState.rdy || ignoreState.B) 234 } 235 } 236 def srcIsReady: Vec[Bool] = { 237 VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy }) 238 } 239 def doWriteIntRf: Bool = ctrl.rfWen && ctrl.ldest =/= 0.U 240 def doWriteFpRf: Bool = ctrl.fpWen 241 def clearExceptions( 242 exceptionBits: Seq[Int] = Seq(), 243 flushPipe: Boolean = false, 244 replayInst: Boolean = false 245 ): MicroOp = { 246 cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B) 247 if (!flushPipe) { ctrl.flushPipe := false.B } 248 if (!replayInst) { ctrl.replayInst := false.B } 249 this 250 } 251} 252 253class XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle { 254 val uop = new MicroOp 255} 256 257class MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp { 258 val flag = UInt(1.W) 259} 260 261class Redirect(implicit p: Parameters) extends XSBundle { 262 val robIdx = new RobPtr 263 val ftqIdx = new FtqPtr 264 val ftqOffset = UInt(log2Up(PredictWidth).W) 265 val level = RedirectLevel() 266 val interrupt = Bool() 267 val cfiUpdate = new CfiUpdateInfo 268 269 val stFtqIdx = new FtqPtr // for load violation predict 270 val stFtqOffset = UInt(log2Up(PredictWidth).W) 271 272 val debug_runahead_checkpoint_id = UInt(64.W) 273 274 // def isUnconditional() = RedirectLevel.isUnconditional(level) 275 def flushItself() = RedirectLevel.flushItself(level) 276 // def isException() = RedirectLevel.isException(level) 277} 278 279class Dp1ToDp2IO(implicit p: Parameters) extends XSBundle { 280 val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 281 val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp)) 282 val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp)) 283} 284 285class ResetPregStateReq(implicit p: Parameters) extends XSBundle { 286 // NOTE: set isInt and isFp both to 'false' when invalid 287 val isInt = Bool() 288 val isFp = Bool() 289 val preg = UInt(PhyRegIdxWidth.W) 290} 291 292class DebugBundle(implicit p: Parameters) extends XSBundle { 293 val isMMIO = Bool() 294 val isPerfCnt = Bool() 295 val paddr = UInt(PAddrBits.W) 296 val vaddr = UInt(VAddrBits.W) 297} 298 299class ExuInput(implicit p: Parameters) extends XSBundleWithMicroOp { 300 val src = Vec(3, UInt(XLEN.W)) 301} 302 303class ExuOutput(implicit p: Parameters) extends XSBundleWithMicroOp { 304 val data = UInt(XLEN.W) 305 val fflags = UInt(5.W) 306 val redirectValid = Bool() 307 val redirect = new Redirect 308 val debug = new DebugBundle 309} 310 311class ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 312 val mtip = Input(Bool()) 313 val msip = Input(Bool()) 314 val meip = Input(Bool()) 315 val seip = Input(Bool()) 316 val debug = Input(Bool()) 317} 318 319class CSRSpecialIO(implicit p: Parameters) extends XSBundle { 320 val exception = Flipped(ValidIO(new MicroOp)) 321 val isInterrupt = Input(Bool()) 322 val memExceptionVAddr = Input(UInt(VAddrBits.W)) 323 val trapTarget = Output(UInt(VAddrBits.W)) 324 val externalInterrupt = new ExternalInterruptIO 325 val interrupt = Output(Bool()) 326} 327 328class ExceptionInfo(implicit p: Parameters) extends XSBundleWithMicroOp { 329 val isInterrupt = Bool() 330} 331 332class RobCommitInfo(implicit p: Parameters) extends XSBundle { 333 val ldest = UInt(5.W) 334 val rfWen = Bool() 335 val fpWen = Bool() 336 val wflags = Bool() 337 val commitType = CommitType() 338 val pdest = UInt(PhyRegIdxWidth.W) 339 val old_pdest = UInt(PhyRegIdxWidth.W) 340 val ftqIdx = new FtqPtr 341 val ftqOffset = UInt(log2Up(PredictWidth).W) 342 343 // these should be optimized for synthesis verilog 344 val pc = UInt(VAddrBits.W) 345} 346 347class RobCommitIO(implicit p: Parameters) extends XSBundle { 348 val isWalk = Output(Bool()) 349 val valid = Vec(CommitWidth, Output(Bool())) 350 val info = Vec(CommitWidth, Output(new RobCommitInfo)) 351 352 def hasWalkInstr = isWalk && valid.asUInt.orR 353 354 def hasCommitInstr = !isWalk && valid.asUInt.orR 355} 356 357class RSFeedback(implicit p: Parameters) extends XSBundle { 358 val rsIdx = UInt(log2Up(IssQueSize).W) 359 val hit = Bool() 360 val flushState = Bool() 361 val sourceType = RSFeedbackType() 362 val dataInvalidSqIdx = new SqPtr 363} 364 365class MemRSFeedbackIO(implicit p: Parameters) extends XSBundle { 366 // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO 367 // for instance: MemRSFeedbackIO()(updateP) 368 val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss 369 val feedbackFast = ValidIO(new RSFeedback()) // bank conflict 370 val rsIdx = Input(UInt(log2Up(IssQueSize).W)) 371 val isFirstIssue = Input(Bool()) 372} 373 374class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle { 375 // to backend end 376 val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 377 val fromFtq = new FtqToCtrlIO 378 // from backend 379 val toFtq = Flipped(new CtrlToFtqIO) 380} 381 382class SatpStruct extends Bundle { 383 val mode = UInt(4.W) 384 val asid = UInt(16.W) 385 val ppn = UInt(44.W) 386} 387 388class TlbCsrBundle(implicit p: Parameters) extends XSBundle { 389 val satp = new Bundle { 390 val changed = Bool() 391 val mode = UInt(4.W) // TODO: may change number to parameter 392 val asid = UInt(16.W) 393 val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen 394 395 def apply(satp_value: UInt): Unit = { 396 require(satp_value.getWidth == XLEN) 397 val sa = satp_value.asTypeOf(new SatpStruct) 398 mode := sa.mode 399 asid := sa.asid 400 ppn := sa.ppn 401 changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush 402 } 403 } 404 val priv = new Bundle { 405 val mxr = Bool() 406 val sum = Bool() 407 val imode = UInt(2.W) 408 val dmode = UInt(2.W) 409 } 410 411 override def toPrintable: Printable = { 412 p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 413 p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 414 } 415} 416 417class SfenceBundle(implicit p: Parameters) extends XSBundle { 418 val valid = Bool() 419 val bits = new Bundle { 420 val rs1 = Bool() 421 val rs2 = Bool() 422 val addr = UInt(VAddrBits.W) 423 val asid = UInt(AsidLength.W) 424 } 425 426 override def toPrintable: Printable = { 427 p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}" 428 } 429} 430 431// Bundle for load violation predictor updating 432class MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 433 val valid = Bool() 434 435 // wait table update 436 val waddr = UInt(MemPredPCWidth.W) 437 val wdata = Bool() // true.B by default 438 439 // store set update 440 // by default, ldpc/stpc should be xor folded 441 val ldpc = UInt(MemPredPCWidth.W) 442 val stpc = UInt(MemPredPCWidth.W) 443} 444 445class CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 446 // Prefetcher 447 val l1I_pf_enable = Output(Bool()) 448 val l2_pf_enable = Output(Bool()) 449 // ICache 450 val icache_parity_enable = Output(Bool()) 451 // Labeled XiangShan 452 val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter 453 // Load violation predictor 454 val lvpred_disable = Output(Bool()) 455 val no_spec_load = Output(Bool()) 456 val storeset_wait_store = Output(Bool()) 457 val storeset_no_fast_wakeup = Output(Bool()) 458 val lvpred_timeout = Output(UInt(5.W)) 459 // Branch predictor 460 val bp_ctrl = Output(new BPUCtrl) 461 // Memory Block 462 val sbuffer_threshold = Output(UInt(4.W)) 463 val ldld_vio_check_enable = Output(Bool()) 464 val soft_prefetch_enable = Output(Bool()) 465 val cache_error_enable = Output(Bool()) 466 // Rename 467 val move_elim_enable = Output(Bool()) 468 // Decode 469 val svinval_enable = Output(Bool()) 470 471 // distribute csr write signal 472 val distribute_csr = new DistributedCSRIO() 473 474 val singlestep = Output(Bool()) 475 val frontend_trigger = new FrontendTdataDistributeIO() 476 val mem_trigger = new MemTdataDistributeIO() 477 val trigger_enable = Output(Vec(10, Bool())) 478} 479 480class DistributedCSRIO(implicit p: Parameters) extends XSBundle { 481 // CSR has been written by csr inst, copies of csr should be updated 482 val w = ValidIO(new Bundle { 483 val addr = Output(UInt(12.W)) 484 val data = Output(UInt(XLEN.W)) 485 }) 486} 487 488class DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle { 489 // Request csr to be updated 490 // 491 // Note that this request will ONLY update CSR Module it self, 492 // copies of csr will NOT be updated, use it with care! 493 // 494 // For each cycle, no more than 1 DistributedCSRUpdateReq is valid 495 val w = ValidIO(new Bundle { 496 val addr = Output(UInt(12.W)) 497 val data = Output(UInt(XLEN.W)) 498 }) 499 def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = { 500 when(valid){ 501 w.bits.addr := addr 502 w.bits.data := data 503 } 504 println("Distributed CSR update req registered for " + src_description) 505 } 506} 507 508class L1CacheErrorInfo(implicit p: Parameters) extends XSBundle { 509 // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR 510 val source = Output(new Bundle() { 511 val tag = Bool() // l1 tag array 512 val data = Bool() // l1 data array 513 val l2 = Bool() 514 }) 515 val opType = Output(new Bundle() { 516 val fetch = Bool() 517 val load = Bool() 518 val store = Bool() 519 val probe = Bool() 520 val release = Bool() 521 val atom = Bool() 522 }) 523 val paddr = Output(UInt(PAddrBits.W)) 524 525 // report error and paddr to beu 526 // bus error unit will receive error info iff ecc_error.valid 527 val report_to_beu = Output(Bool()) 528 529 // there is an valid error 530 // l1 cache error will always be report to CACHE_ERROR csr 531 val valid = Output(Bool()) 532 533 def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = { 534 val beu_info = Wire(new L1BusErrorUnitInfo) 535 beu_info.ecc_error.valid := report_to_beu 536 beu_info.ecc_error.bits := paddr 537 beu_info 538 } 539} 540 541/* TODO how to trigger on next inst? 5421. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep 5432. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set 544xret csr to pc + 4/ + 2 5452.5 The problem is to let it commit. This is the real TODO 5463. If it is load and hit before just treat it as regular load exception 547 */ 548 549// This bundle carries trigger hit info along the pipeline 550// Now there are 10 triggers divided into 5 groups of 2 551// These groups are 552// (if if) (store store) (load loid) (if store) (if load) 553 554// Triggers in the same group can chain, meaning that they only 555// fire is both triggers in the group matches (the triggerHitVec bit is asserted) 556// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i) 557// Timing of 0 means trap at current inst, 1 means trap at next inst 558// Chaining and timing and the validness of a trigger is controlled by csr 559// In two chained triggers, if they have different timing, both won't fire 560//class TriggerCf (implicit p: Parameters) extends XSBundle { 561// val triggerHitVec = Vec(10, Bool()) 562// val triggerTiming = Vec(10, Bool()) 563// val triggerChainVec = Vec(5, Bool()) 564//} 565 566class TriggerCf(implicit p: Parameters) extends XSBundle { 567 // frontend 568 val frontendHit = Vec(4, Bool()) 569// val frontendTiming = Vec(4, Bool()) 570// val frontendHitNext = Vec(4, Bool()) 571 572// val frontendException = Bool() 573 // backend 574 val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4) 575 val backendHit = Vec(6, Bool()) 576// val backendTiming = Vec(6, Bool()) // trigger enable fro chain 577 578 // Two situations not allowed: 579 // 1. load data comparison 580 // 2. store chaining with store 581 def getHitFrontend = frontendHit.reduce(_ || _) 582 def getHitBackend = backendHit.reduce(_ || _) 583 def hit = getHitFrontend || getHitBackend 584 def clear(): Unit = { 585 frontendHit.foreach(_ := false.B) 586 backendEn.foreach(_ := false.B) 587 backendHit.foreach(_ := false.B) 588 } 589} 590 591// these 3 bundles help distribute trigger control signals from CSR 592// to Frontend, Load and Store. 593class FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle { 594 val t = Valid(new Bundle { 595 val addr = Output(UInt(2.W)) 596 val tdata = new MatchTriggerIO 597 }) 598 } 599 600class MemTdataDistributeIO(implicit p: Parameters) extends XSBundle { 601 val t = Valid(new Bundle { 602 val addr = Output(UInt(3.W)) 603 val tdata = new MatchTriggerIO 604 }) 605} 606 607class MatchTriggerIO(implicit p: Parameters) extends XSBundle { 608 val matchType = Output(UInt(2.W)) 609 val select = Output(Bool()) 610 val timing = Output(Bool()) 611 val action = Output(Bool()) 612 val chain = Output(Bool()) 613 val tdata2 = Output(UInt(64.W)) 614} 615