1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan 18 19import chisel3._ 20import chisel3.util._ 21import xiangshan.backend.roq.RoqPtr 22import xiangshan.backend.CtrlToFtqIO 23import xiangshan.backend.decode.{ImmUnion, XDecode} 24import xiangshan.mem.{LqPtr, SqPtr} 25import xiangshan.frontend.PreDecodeInfo 26import xiangshan.frontend.HasBPUParameter 27import xiangshan.frontend.GlobalHistory 28import xiangshan.frontend.RASEntry 29import xiangshan.frontend.BPUCtrl 30import xiangshan.frontend.FtqPtr 31import xiangshan.frontend.FtqRead 32import xiangshan.frontend.FtqToCtrlIO 33import utils._ 34 35import scala.math.max 36import Chisel.experimental.chiselName 37import chipsalliance.rocketchip.config.Parameters 38import chisel3.util.BitPat.bitPatToUInt 39import xiangshan.frontend.Ftq_Redirect_SRAMEntry 40 41class ValidUndirectioned[T <: Data](gen: T) extends Bundle { 42 val valid = Bool() 43 val bits = gen.cloneType.asInstanceOf[T] 44 45 override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type] 46} 47 48object ValidUndirectioned { 49 def apply[T <: Data](gen: T) = { 50 new ValidUndirectioned[T](gen) 51 } 52} 53 54object RSFeedbackType { 55 val tlbMiss = 0.U(2.W) 56 val mshrFull = 1.U(2.W) 57 val dataInvalid = 2.U(2.W) 58 59 def apply() = UInt(2.W) 60} 61 62class PredictorAnswer(implicit p: Parameters) extends XSBundle { 63 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 64 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 65 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 66} 67 68class CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 69 // from backend 70 val pc = UInt(VAddrBits.W) 71 // frontend -> backend -> frontend 72 val pd = new PreDecodeInfo 73 val rasSp = UInt(log2Up(RasSize).W) 74 val rasEntry = new RASEntry 75 val hist = new GlobalHistory 76 val phist = UInt(PathHistoryLength.W) 77 val specCnt = Vec(numBr, UInt(10.W)) 78 val phNewBit = Bool() 79 // need pipeline update 80 val br_hit = Bool() 81 val predTaken = Bool() 82 val target = UInt(VAddrBits.W) 83 val taken = Bool() 84 val isMisPred = Bool() 85 val shift = UInt((log2Ceil(numBr)+1).W) 86 val addIntoHist = Bool() 87 88 def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = { 89 this.hist := entry.ghist 90 this.phist := entry.phist 91 this.phNewBit := entry.phNewBit 92 this.rasSp := entry.rasSp 93 this.rasEntry := entry.rasEntry 94 this.specCnt := entry.specCnt 95 this 96 } 97} 98 99// Dequeue DecodeWidth insts from Ibuffer 100class CtrlFlow(implicit p: Parameters) extends XSBundle { 101 val instr = UInt(32.W) 102 val pc = UInt(VAddrBits.W) 103 val foldpc = UInt(MemPredPCWidth.W) 104 val exceptionVec = ExceptionVec() 105 val intrVec = Vec(12, Bool()) 106 val pd = new PreDecodeInfo 107 val pred_taken = Bool() 108 val crossPageIPFFix = Bool() 109 val storeSetHit = Bool() // inst has been allocated an store set 110 val loadWaitBit = Bool() // load inst should not be executed until all former store addr calcuated 111 val ssid = UInt(SSIDWidth.W) 112 val ftqPtr = new FtqPtr 113 val ftqOffset = UInt(log2Up(PredictWidth).W) 114 // This inst will flush all the pipe when it is the oldest inst in ROB, 115 // then replay from this inst itself 116 val replayInst = Bool() 117} 118 119class FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 120 val isAddSub = Bool() // swap23 121 val typeTagIn = UInt(1.W) 122 val typeTagOut = UInt(1.W) 123 val fromInt = Bool() 124 val wflags = Bool() 125 val fpWen = Bool() 126 val fmaCmd = UInt(2.W) 127 val div = Bool() 128 val sqrt = Bool() 129 val fcvt = Bool() 130 val typ = UInt(2.W) 131 val fmt = UInt(2.W) 132 val ren3 = Bool() //TODO: remove SrcType.fp 133 val rm = UInt(3.W) 134} 135 136// Decode DecodeWidth insts at Decode Stage 137class CtrlSignals(implicit p: Parameters) extends XSBundle { 138 val srcType = Vec(3, SrcType()) 139 val lsrc = Vec(3, UInt(5.W)) 140 val ldest = UInt(5.W) 141 val fuType = FuType() 142 val fuOpType = FuOpType() 143 val rfWen = Bool() 144 val fpWen = Bool() 145 val isXSTrap = Bool() 146 val noSpecExec = Bool() // wait forward 147 val blockBackward = Bool() // block backward 148 val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 149 val isRVF = Bool() 150 val selImm = SelImm() 151 val imm = UInt(ImmUnion.maxLen.W) 152 val commitType = CommitType() 153 val fpu = new FPUCtrlSignals 154 val isMove = Bool() 155 val singleStep = Bool() 156 val isFused = UInt(3.W) 157 // This inst will flush all the pipe when it is the oldest inst in ROB, 158 // then replay from this inst itself 159 val replayInst = Bool() 160 161 private def allSignals = srcType ++ Seq(fuType, fuOpType, rfWen, fpWen, 162 isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm) 163 164 def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = { 165 val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table) 166 allSignals zip decoder foreach { case (s, d) => s := d } 167 commitType := DontCare 168 this 169 } 170 171 def decode(bit: List[BitPat]): CtrlSignals = { 172 allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d } 173 this 174 } 175} 176 177class CfCtrl(implicit p: Parameters) extends XSBundle { 178 val cf = new CtrlFlow 179 val ctrl = new CtrlSignals 180} 181 182class PerfDebugInfo(implicit p: Parameters) extends XSBundle { 183 val eliminatedMove = Bool() 184 // val fetchTime = UInt(64.W) 185 val renameTime = UInt(XLEN.W) 186 val dispatchTime = UInt(XLEN.W) 187 val enqRsTime = UInt(XLEN.W) 188 val selectTime = UInt(XLEN.W) 189 val issueTime = UInt(XLEN.W) 190 val writebackTime = UInt(XLEN.W) 191 // val commitTime = UInt(64.W) 192} 193 194// Separate LSQ 195class LSIdx(implicit p: Parameters) extends XSBundle { 196 val lqIdx = new LqPtr 197 val sqIdx = new SqPtr 198} 199 200// CfCtrl -> MicroOp at Rename Stage 201class MicroOp(implicit p: Parameters) extends CfCtrl { 202 val srcState = Vec(3, SrcState()) 203 val psrc = Vec(3, UInt(PhyRegIdxWidth.W)) 204 val pdest = UInt(PhyRegIdxWidth.W) 205 val old_pdest = UInt(PhyRegIdxWidth.W) 206 val roqIdx = new RoqPtr 207 val lqIdx = new LqPtr 208 val sqIdx = new SqPtr 209 val diffTestDebugLrScValid = Bool() 210 val eliminatedMove = Bool() 211 val debugInfo = new PerfDebugInfo 212 def needRfRPort(index: Int, rfType: Int, ignoreState: Boolean = true) : Bool = { 213 (index, rfType) match { 214 case (0, 0) => ctrl.srcType(0) === SrcType.reg && ctrl.lsrc(0) =/= 0.U && (srcState(0) === SrcState.rdy || ignoreState.B) 215 case (1, 0) => ctrl.srcType(1) === SrcType.reg && ctrl.lsrc(1) =/= 0.U && (srcState(1) === SrcState.rdy || ignoreState.B) 216 case (0, 1) => ctrl.srcType(0) === SrcType.fp && (srcState(0) === SrcState.rdy || ignoreState.B) 217 case (1, 1) => ctrl.srcType(1) === SrcType.fp && (srcState(1) === SrcState.rdy || ignoreState.B) 218 case (2, 1) => ctrl.srcType(2) === SrcType.fp && (srcState(2) === SrcState.rdy || ignoreState.B) 219 case _ => false.B 220 } 221 } 222 def srcIsReady: Vec[Bool] = { 223 VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy }) 224 } 225 def doWriteIntRf: Bool = ctrl.rfWen && ctrl.ldest =/= 0.U 226 def doWriteFpRf: Bool = ctrl.fpWen 227 def clearExceptions(): MicroOp = { 228 cf.exceptionVec.map(_ := false.B) 229 ctrl.replayInst := false.B 230 ctrl.flushPipe := false.B 231 this 232 } 233} 234 235class MicroOpRbExt(implicit p: Parameters) extends XSBundle { 236 val uop = new MicroOp 237 val flag = UInt(1.W) 238} 239 240class Redirect(implicit p: Parameters) extends XSBundle { 241 val roqIdx = new RoqPtr 242 val ftqIdx = new FtqPtr 243 val ftqOffset = UInt(log2Up(PredictWidth).W) 244 val level = RedirectLevel() 245 val interrupt = Bool() 246 val cfiUpdate = new CfiUpdateInfo 247 248 val stFtqIdx = new FtqPtr // for load violation predict 249 val stFtqOffset = UInt(log2Up(PredictWidth).W) 250 251 // def isUnconditional() = RedirectLevel.isUnconditional(level) 252 def flushItself() = RedirectLevel.flushItself(level) 253 // def isException() = RedirectLevel.isException(level) 254} 255 256class Dp1ToDp2IO(implicit p: Parameters) extends XSBundle { 257 val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 258 val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp)) 259 val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp)) 260} 261 262class ReplayPregReq(implicit p: Parameters) extends XSBundle { 263 // NOTE: set isInt and isFp both to 'false' when invalid 264 val isInt = Bool() 265 val isFp = Bool() 266 val preg = UInt(PhyRegIdxWidth.W) 267} 268 269class DebugBundle(implicit p: Parameters) extends XSBundle { 270 val isMMIO = Bool() 271 val isPerfCnt = Bool() 272 val paddr = UInt(PAddrBits.W) 273} 274 275class ExuInput(implicit p: Parameters) extends XSBundle { 276 val uop = new MicroOp 277 val src = Vec(3, UInt(XLEN.W)) 278} 279 280class ExuOutput(implicit p: Parameters) extends XSBundle { 281 val uop = new MicroOp 282 val data = UInt(XLEN.W) 283 val fflags = UInt(5.W) 284 val redirectValid = Bool() 285 val redirect = new Redirect 286 val debug = new DebugBundle 287} 288 289class ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 290 val mtip = Input(Bool()) 291 val msip = Input(Bool()) 292 val meip = Input(Bool()) 293 val debug = Input(Bool()) 294} 295 296class CSRSpecialIO(implicit p: Parameters) extends XSBundle { 297 val exception = Flipped(ValidIO(new MicroOp)) 298 val isInterrupt = Input(Bool()) 299 val memExceptionVAddr = Input(UInt(VAddrBits.W)) 300 val trapTarget = Output(UInt(VAddrBits.W)) 301 val externalInterrupt = new ExternalInterruptIO 302 val interrupt = Output(Bool()) 303} 304 305class ExceptionInfo(implicit p: Parameters) extends XSBundle { 306 val uop = new MicroOp 307 val isInterrupt = Bool() 308} 309 310class RoqCommitInfo(implicit p: Parameters) extends XSBundle { 311 val ldest = UInt(5.W) 312 val rfWen = Bool() 313 val fpWen = Bool() 314 val wflags = Bool() 315 val commitType = CommitType() 316 val eliminatedMove = Bool() 317 val pdest = UInt(PhyRegIdxWidth.W) 318 val old_pdest = UInt(PhyRegIdxWidth.W) 319 val ftqIdx = new FtqPtr 320 val ftqOffset = UInt(log2Up(PredictWidth).W) 321 val isFused = UInt(3.W) 322 323 // these should be optimized for synthesis verilog 324 val pc = UInt(VAddrBits.W) 325} 326 327class RoqCommitIO(implicit p: Parameters) extends XSBundle { 328 val isWalk = Output(Bool()) 329 val valid = Vec(CommitWidth, Output(Bool())) 330 val info = Vec(CommitWidth, Output(new RoqCommitInfo)) 331 332 def hasWalkInstr = isWalk && valid.asUInt.orR 333 334 def hasCommitInstr = !isWalk && valid.asUInt.orR 335} 336 337class RSFeedback(implicit p: Parameters) extends XSBundle { 338 val rsIdx = UInt(log2Up(IssQueSize).W) 339 val hit = Bool() 340 val flushState = Bool() 341 val sourceType = RSFeedbackType() 342} 343 344class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle { 345 // to backend end 346 val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 347 val fromFtq = new FtqToCtrlIO 348 // from backend 349 val toFtq = Flipped(new CtrlToFtqIO) 350} 351 352class TlbCsrBundle(implicit p: Parameters) extends XSBundle { 353 val satp = new Bundle { 354 val mode = UInt(4.W) // TODO: may change number to parameter 355 val asid = UInt(16.W) 356 val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen 357 } 358 val priv = new Bundle { 359 val mxr = Bool() 360 val sum = Bool() 361 val imode = UInt(2.W) 362 val dmode = UInt(2.W) 363 } 364 365 override def toPrintable: Printable = { 366 p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 367 p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 368 } 369} 370 371class SfenceBundle(implicit p: Parameters) extends XSBundle { 372 val valid = Bool() 373 val bits = new Bundle { 374 val rs1 = Bool() 375 val rs2 = Bool() 376 val addr = UInt(VAddrBits.W) 377 } 378 379 override def toPrintable: Printable = { 380 p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}" 381 } 382} 383 384// Bundle for load violation predictor updating 385class MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 386 val valid = Bool() 387 388 // wait table update 389 val waddr = UInt(MemPredPCWidth.W) 390 val wdata = Bool() // true.B by default 391 392 // store set update 393 // by default, ldpc/stpc should be xor folded 394 val ldpc = UInt(MemPredPCWidth.W) 395 val stpc = UInt(MemPredPCWidth.W) 396} 397 398class CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 399 // Prefetcher 400 val l1plus_pf_enable = Output(Bool()) 401 val l2_pf_enable = Output(Bool()) 402 // Labeled XiangShan 403 val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter 404 // Load violation predictor 405 val lvpred_disable = Output(Bool()) 406 val no_spec_load = Output(Bool()) 407 val waittable_timeout = Output(UInt(5.W)) 408 // Branch predictor 409 val bp_ctrl = Output(new BPUCtrl) 410 // Memory Block 411 val sbuffer_threshold = Output(UInt(4.W)) 412 // Rename 413 val move_elim_enable = Output(Bool()) 414} 415