1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan 18 19import chisel3._ 20import chisel3.util._ 21import xiangshan.backend.rob.RobPtr 22import xiangshan.backend.CtrlToFtqIO 23import xiangshan.backend.decode.{ImmUnion, XDecode} 24import xiangshan.mem.{LqPtr, SqPtr} 25import xiangshan.frontend.PreDecodeInfo 26import xiangshan.frontend.HasBPUParameter 27import xiangshan.frontend.{GlobalHistory, ShiftingGlobalHistory, CircularGlobalHistory, AllFoldedHistories} 28import xiangshan.frontend.RASEntry 29import xiangshan.frontend.BPUCtrl 30import xiangshan.frontend.FtqPtr 31import xiangshan.frontend.CGHPtr 32import xiangshan.frontend.FtqRead 33import xiangshan.frontend.FtqToCtrlIO 34import utils._ 35 36import scala.math.max 37import Chisel.experimental.chiselName 38import chipsalliance.rocketchip.config.Parameters 39import chisel3.util.BitPat.bitPatToUInt 40import xiangshan.backend.fu.PMPEntry 41import xiangshan.frontend.Ftq_Redirect_SRAMEntry 42import xiangshan.frontend.AllFoldedHistories 43import xiangshan.frontend.AllAheadFoldedHistoryOldestBits 44 45class ValidUndirectioned[T <: Data](gen: T) extends Bundle { 46 val valid = Bool() 47 val bits = gen.cloneType.asInstanceOf[T] 48 49 override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type] 50} 51 52object ValidUndirectioned { 53 def apply[T <: Data](gen: T) = { 54 new ValidUndirectioned[T](gen) 55 } 56} 57 58object RSFeedbackType { 59 val tlbMiss = 0.U(3.W) 60 val mshrFull = 1.U(3.W) 61 val dataInvalid = 2.U(3.W) 62 val bankConflict = 3.U(3.W) 63 val ldVioCheckRedo = 4.U(3.W) 64 65 def apply() = UInt(3.W) 66} 67 68class PredictorAnswer(implicit p: Parameters) extends XSBundle { 69 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 70 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 71 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 72} 73 74class CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 75 // from backend 76 val pc = UInt(VAddrBits.W) 77 // frontend -> backend -> frontend 78 val pd = new PreDecodeInfo 79 val rasSp = UInt(log2Up(RasSize).W) 80 val rasEntry = new RASEntry 81 // val hist = new ShiftingGlobalHistory 82 val folded_hist = new AllFoldedHistories(foldedGHistInfos) 83 val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos) 84 val lastBrNumOH = UInt((numBr+1).W) 85 val ghr = UInt(UbtbGHRLength.W) 86 val histPtr = new CGHPtr 87 val specCnt = Vec(numBr, UInt(10.W)) 88 // need pipeline update 89 val br_hit = Bool() 90 val predTaken = Bool() 91 val target = UInt(VAddrBits.W) 92 val taken = Bool() 93 val isMisPred = Bool() 94 val shift = UInt((log2Ceil(numBr)+1).W) 95 val addIntoHist = Bool() 96 97 def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = { 98 // this.hist := entry.ghist 99 this.folded_hist := entry.folded_hist 100 this.lastBrNumOH := entry.lastBrNumOH 101 this.afhob := entry.afhob 102 this.histPtr := entry.histPtr 103 this.rasSp := entry.rasSp 104 this.rasEntry := entry.rasEntry 105 this 106 } 107} 108 109// Dequeue DecodeWidth insts from Ibuffer 110class CtrlFlow(implicit p: Parameters) extends XSBundle { 111 val instr = UInt(32.W) 112 val pc = UInt(VAddrBits.W) 113 val foldpc = UInt(MemPredPCWidth.W) 114 val exceptionVec = ExceptionVec() 115 val trigger = new TriggerCf 116 val intrVec = Vec(12, Bool()) 117 val pd = new PreDecodeInfo 118 val pred_taken = Bool() 119 val crossPageIPFFix = Bool() 120 val storeSetHit = Bool() // inst has been allocated an store set 121 val waitForRobIdx = new RobPtr // store set predicted previous store robIdx 122 // Load wait is needed 123 // load inst will not be executed until former store (predicted by mdp) addr calcuated 124 val loadWaitBit = Bool() 125 // If (loadWaitBit && loadWaitStrict), strict load wait is needed 126 // load inst will not be executed until ALL former store addr calcuated 127 val loadWaitStrict = Bool() 128 val ssid = UInt(SSIDWidth.W) 129 val ftqPtr = new FtqPtr 130 val ftqOffset = UInt(log2Up(PredictWidth).W) 131 // This inst will flush all the pipe when it is the oldest inst in ROB, 132 // then replay from this inst itself 133 val replayInst = Bool() 134} 135 136 137class FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 138 val isAddSub = Bool() // swap23 139 val typeTagIn = UInt(1.W) 140 val typeTagOut = UInt(1.W) 141 val fromInt = Bool() 142 val wflags = Bool() 143 val fpWen = Bool() 144 val fmaCmd = UInt(2.W) 145 val div = Bool() 146 val sqrt = Bool() 147 val fcvt = Bool() 148 val typ = UInt(2.W) 149 val fmt = UInt(2.W) 150 val ren3 = Bool() //TODO: remove SrcType.fp 151 val rm = UInt(3.W) 152} 153 154// Decode DecodeWidth insts at Decode Stage 155class CtrlSignals(implicit p: Parameters) extends XSBundle { 156 val srcType = Vec(3, SrcType()) 157 val lsrc = Vec(3, UInt(5.W)) 158 val ldest = UInt(5.W) 159 val fuType = FuType() 160 val fuOpType = FuOpType() 161 val rfWen = Bool() 162 val fpWen = Bool() 163 val isXSTrap = Bool() 164 val noSpecExec = Bool() // wait forward 165 val blockBackward = Bool() // block backward 166 val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 167 val isRVF = Bool() 168 val selImm = SelImm() 169 val imm = UInt(ImmUnion.maxLen.W) 170 val commitType = CommitType() 171 val fpu = new FPUCtrlSignals 172 val isMove = Bool() 173 val singleStep = Bool() 174 // This inst will flush all the pipe when it is the oldest inst in ROB, 175 // then replay from this inst itself 176 val replayInst = Bool() 177 178 private def allSignals = srcType ++ Seq(fuType, fuOpType, rfWen, fpWen, 179 isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm) 180 181 def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = { 182 val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table) 183 allSignals zip decoder foreach { case (s, d) => s := d } 184 commitType := DontCare 185 this 186 } 187 188 def decode(bit: List[BitPat]): CtrlSignals = { 189 allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d } 190 this 191 } 192} 193 194class CfCtrl(implicit p: Parameters) extends XSBundle { 195 val cf = new CtrlFlow 196 val ctrl = new CtrlSignals 197} 198 199class PerfDebugInfo(implicit p: Parameters) extends XSBundle { 200 val eliminatedMove = Bool() 201 // val fetchTime = UInt(64.W) 202 val renameTime = UInt(XLEN.W) 203 val dispatchTime = UInt(XLEN.W) 204 val enqRsTime = UInt(XLEN.W) 205 val selectTime = UInt(XLEN.W) 206 val issueTime = UInt(XLEN.W) 207 val writebackTime = UInt(XLEN.W) 208 // val commitTime = UInt(64.W) 209 val runahead_checkpoint_id = UInt(64.W) 210} 211 212// Separate LSQ 213class LSIdx(implicit p: Parameters) extends XSBundle { 214 val lqIdx = new LqPtr 215 val sqIdx = new SqPtr 216} 217 218// CfCtrl -> MicroOp at Rename Stage 219class MicroOp(implicit p: Parameters) extends CfCtrl { 220 val srcState = Vec(3, SrcState()) 221 val psrc = Vec(3, UInt(PhyRegIdxWidth.W)) 222 val pdest = UInt(PhyRegIdxWidth.W) 223 val old_pdest = UInt(PhyRegIdxWidth.W) 224 val robIdx = new RobPtr 225 val lqIdx = new LqPtr 226 val sqIdx = new SqPtr 227 val eliminatedMove = Bool() 228 val debugInfo = new PerfDebugInfo 229 def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = { 230 isFp match { 231 case false => ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U && (srcState(index) === SrcState.rdy || ignoreState.B) 232 case _ => ctrl.srcType(index) === SrcType.fp && (srcState(index) === SrcState.rdy || ignoreState.B) 233 } 234 } 235 def srcIsReady: Vec[Bool] = { 236 VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy }) 237 } 238 def doWriteIntRf: Bool = ctrl.rfWen && ctrl.ldest =/= 0.U 239 def doWriteFpRf: Bool = ctrl.fpWen 240 def clearExceptions( 241 exceptionBits: Seq[Int] = Seq(), 242 flushPipe: Boolean = false, 243 replayInst: Boolean = false 244 ): MicroOp = { 245 cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B) 246 if (!flushPipe) { ctrl.flushPipe := false.B } 247 if (!replayInst) { ctrl.replayInst := false.B } 248 this 249 } 250} 251 252class MicroOpRbExt(implicit p: Parameters) extends XSBundle { 253 val uop = new MicroOp 254 val flag = UInt(1.W) 255} 256 257class Redirect(implicit p: Parameters) extends XSBundle { 258 val robIdx = new RobPtr 259 val ftqIdx = new FtqPtr 260 val ftqOffset = UInt(log2Up(PredictWidth).W) 261 val level = RedirectLevel() 262 val interrupt = Bool() 263 val cfiUpdate = new CfiUpdateInfo 264 265 val stFtqIdx = new FtqPtr // for load violation predict 266 val stFtqOffset = UInt(log2Up(PredictWidth).W) 267 268 val debug_runahead_checkpoint_id = UInt(64.W) 269 270 // def isUnconditional() = RedirectLevel.isUnconditional(level) 271 def flushItself() = RedirectLevel.flushItself(level) 272 // def isException() = RedirectLevel.isException(level) 273} 274 275class Dp1ToDp2IO(implicit p: Parameters) extends XSBundle { 276 val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 277 val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp)) 278 val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp)) 279} 280 281class ResetPregStateReq(implicit p: Parameters) extends XSBundle { 282 // NOTE: set isInt and isFp both to 'false' when invalid 283 val isInt = Bool() 284 val isFp = Bool() 285 val preg = UInt(PhyRegIdxWidth.W) 286} 287 288class DebugBundle(implicit p: Parameters) extends XSBundle { 289 val isMMIO = Bool() 290 val isPerfCnt = Bool() 291 val paddr = UInt(PAddrBits.W) 292 val vaddr = UInt(VAddrBits.W) 293} 294 295class ExuInput(implicit p: Parameters) extends XSBundle { 296 val uop = new MicroOp 297 val src = Vec(3, UInt(XLEN.W)) 298} 299 300class ExuOutput(implicit p: Parameters) extends XSBundle { 301 val uop = new MicroOp 302 val data = UInt(XLEN.W) 303 val fflags = UInt(5.W) 304 val redirectValid = Bool() 305 val redirect = new Redirect 306 val debug = new DebugBundle 307} 308 309class ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 310 val mtip = Input(Bool()) 311 val msip = Input(Bool()) 312 val meip = Input(Bool()) 313 val seip = Input(Bool()) 314 val debug = Input(Bool()) 315} 316 317class CSRSpecialIO(implicit p: Parameters) extends XSBundle { 318 val exception = Flipped(ValidIO(new MicroOp)) 319 val isInterrupt = Input(Bool()) 320 val memExceptionVAddr = Input(UInt(VAddrBits.W)) 321 val trapTarget = Output(UInt(VAddrBits.W)) 322 val externalInterrupt = new ExternalInterruptIO 323 val interrupt = Output(Bool()) 324} 325 326class ExceptionInfo(implicit p: Parameters) extends XSBundle { 327 val uop = new MicroOp 328 val isInterrupt = Bool() 329} 330 331class RobCommitInfo(implicit p: Parameters) extends XSBundle { 332 val ldest = UInt(5.W) 333 val rfWen = Bool() 334 val fpWen = Bool() 335 val wflags = Bool() 336 val commitType = CommitType() 337 val pdest = UInt(PhyRegIdxWidth.W) 338 val old_pdest = UInt(PhyRegIdxWidth.W) 339 val ftqIdx = new FtqPtr 340 val ftqOffset = UInt(log2Up(PredictWidth).W) 341 342 // these should be optimized for synthesis verilog 343 val pc = UInt(VAddrBits.W) 344} 345 346class RobCommitIO(implicit p: Parameters) extends XSBundle { 347 val isWalk = Output(Bool()) 348 val valid = Vec(CommitWidth, Output(Bool())) 349 val info = Vec(CommitWidth, Output(new RobCommitInfo)) 350 351 def hasWalkInstr = isWalk && valid.asUInt.orR 352 353 def hasCommitInstr = !isWalk && valid.asUInt.orR 354} 355 356class RSFeedback(implicit p: Parameters) extends XSBundle { 357 val rsIdx = UInt(log2Up(IssQueSize).W) 358 val hit = Bool() 359 val flushState = Bool() 360 val sourceType = RSFeedbackType() 361 val dataInvalidSqIdx = new SqPtr 362} 363 364class MemRSFeedbackIO(implicit p: Parameters) extends XSBundle { 365 // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO 366 // for instance: MemRSFeedbackIO()(updateP) 367 val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss 368 val feedbackFast = ValidIO(new RSFeedback()) // bank conflict 369 val rsIdx = Input(UInt(log2Up(IssQueSize).W)) 370 val isFirstIssue = Input(Bool()) 371} 372 373class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle { 374 // to backend end 375 val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 376 val fromFtq = new FtqToCtrlIO 377 // from backend 378 val toFtq = Flipped(new CtrlToFtqIO) 379} 380 381class SatpStruct extends Bundle { 382 val mode = UInt(4.W) 383 val asid = UInt(16.W) 384 val ppn = UInt(44.W) 385} 386 387class TlbCsrBundle(implicit p: Parameters) extends XSBundle { 388 val satp = new Bundle { 389 val changed = Bool() 390 val mode = UInt(4.W) // TODO: may change number to parameter 391 val asid = UInt(16.W) 392 val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen 393 394 def apply(satp_value: UInt): Unit = { 395 require(satp_value.getWidth == XLEN) 396 val sa = satp_value.asTypeOf(new SatpStruct) 397 mode := sa.mode 398 asid := sa.asid 399 ppn := sa.ppn 400 changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush 401 } 402 } 403 val priv = new Bundle { 404 val mxr = Bool() 405 val sum = Bool() 406 val imode = UInt(2.W) 407 val dmode = UInt(2.W) 408 } 409 410 override def toPrintable: Printable = { 411 p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 412 p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 413 } 414} 415 416class SfenceBundle(implicit p: Parameters) extends XSBundle { 417 val valid = Bool() 418 val bits = new Bundle { 419 val rs1 = Bool() 420 val rs2 = Bool() 421 val addr = UInt(VAddrBits.W) 422 val asid = UInt(AsidLength.W) 423 } 424 425 override def toPrintable: Printable = { 426 p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}" 427 } 428} 429 430// Bundle for load violation predictor updating 431class MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 432 val valid = Bool() 433 434 // wait table update 435 val waddr = UInt(MemPredPCWidth.W) 436 val wdata = Bool() // true.B by default 437 438 // store set update 439 // by default, ldpc/stpc should be xor folded 440 val ldpc = UInt(MemPredPCWidth.W) 441 val stpc = UInt(MemPredPCWidth.W) 442} 443 444class CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 445 // Prefetcher 446 val l1I_pf_enable = Output(Bool()) 447 val l2_pf_enable = Output(Bool()) 448 // ICache 449 val icache_parity_enable = Output(Bool()) 450 // Labeled XiangShan 451 val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter 452 // Load violation predictor 453 val lvpred_disable = Output(Bool()) 454 val no_spec_load = Output(Bool()) 455 val storeset_wait_store = Output(Bool()) 456 val storeset_no_fast_wakeup = Output(Bool()) 457 val lvpred_timeout = Output(UInt(5.W)) 458 // Branch predictor 459 val bp_ctrl = Output(new BPUCtrl) 460 // Memory Block 461 val sbuffer_threshold = Output(UInt(4.W)) 462 val ldld_vio_check_enable = Output(Bool()) 463 val soft_prefetch_enable = Output(Bool()) 464 val cache_error_enable = Output(Bool()) 465 // Rename 466 val move_elim_enable = Output(Bool()) 467 // Decode 468 val svinval_enable = Output(Bool()) 469 470 // distribute csr write signal 471 val distribute_csr = new DistributedCSRIO() 472 473 val singlestep = Output(Bool()) 474 val frontend_trigger = new FrontendTdataDistributeIO() 475 val mem_trigger = new MemTdataDistributeIO() 476 val trigger_enable = Output(Vec(10, Bool())) 477} 478 479class DistributedCSRIO(implicit p: Parameters) extends XSBundle { 480 // CSR has been writen by csr inst, copies of csr should be updated 481 val w = ValidIO(new Bundle { 482 val addr = Output(UInt(12.W)) 483 val data = Output(UInt(XLEN.W)) 484 }) 485} 486 487class DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle { 488 // Request csr to be updated 489 // 490 // Note that this request will ONLY update CSR Module it self, 491 // copies of csr will NOT be updated, use it with care! 492 // 493 // For each cycle, no more than 1 DistributedCSRUpdateReq is valid 494 val w = ValidIO(new Bundle { 495 val addr = Output(UInt(12.W)) 496 val data = Output(UInt(XLEN.W)) 497 }) 498 def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = { 499 when(valid){ 500 w.bits.addr := addr 501 w.bits.data := data 502 } 503 println("Distributed CSR update req registered for " + src_description) 504 } 505} 506 507class L1CacheErrorInfo(implicit p: Parameters) extends XSBundle { 508 // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR 509 val source = Output(new Bundle() { 510 val tag = Bool() // l1 tag array 511 val data = Bool() // l1 data array 512 val l2 = Bool() 513 }) 514 val opType = Output(new Bundle() { 515 val fetch = Bool() 516 val load = Bool() 517 val store = Bool() 518 val probe = Bool() 519 val release = Bool() 520 val atom = Bool() 521 }) 522 val paddr = Output(UInt(PAddrBits.W)) 523 524 // report error and paddr to beu 525 // bus error unit will receive error info iff ecc_error.valid 526 val report_to_beu = Output(Bool()) 527 528 // there is an valid error 529 // l1 cache error will always be report to CACHE_ERROR csr 530 val valid = Output(Bool()) 531 532 def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = { 533 val beu_info = Wire(new L1BusErrorUnitInfo) 534 beu_info.ecc_error.valid := report_to_beu 535 beu_info.ecc_error.bits := paddr 536 beu_info 537 } 538} 539 540/* TODO how to trigger on next inst? 5411. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep 5422. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set 543xret csr to pc + 4/ + 2 5442.5 The problem is to let it commit. This is the real TODO 5453. If it is load and hit before just treat it as regular load exception 546 */ 547 548// This bundle carries trigger hit info along the pipeline 549// Now there are 10 triggers divided into 5 groups of 2 550// These groups are 551// (if if) (store store) (load loid) (if store) (if load) 552 553// Triggers in the same group can chain, meaning that they only 554// fire is both triggers in the group matches (the triggerHitVec bit is asserted) 555// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i) 556// Timing of 0 means trap at current inst, 1 means trap at next inst 557// Chaining and timing and the validness of a trigger is controlled by csr 558// In two chained triggers, if they have different timing, both won't fire 559//class TriggerCf (implicit p: Parameters) extends XSBundle { 560// val triggerHitVec = Vec(10, Bool()) 561// val triggerTiming = Vec(10, Bool()) 562// val triggerChainVec = Vec(5, Bool()) 563//} 564 565class TriggerCf(implicit p: Parameters) extends XSBundle { 566 // frontend 567 val frontendHit = Vec(4, Bool()) 568// val frontendTiming = Vec(4, Bool()) 569// val frontendHitNext = Vec(4, Bool()) 570 571// val frontendException = Bool() 572 // backend 573 val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4) 574 val backendHit = Vec(6, Bool()) 575// val backendTiming = Vec(6, Bool()) // trigger enable fro chain 576 577 // Two situations not allowed: 578 // 1. load data comparison 579 // 2. store chaining with store 580 def getHitFrontend = frontendHit.reduce(_ || _) 581 def getHitBackend = backendHit.reduce(_ || _) 582 def hit = getHitFrontend || getHitBackend 583 def clear(): Unit = { 584 frontendHit.foreach(_ := false.B) 585 backendEn.foreach(_ := false.B) 586 backendHit.foreach(_ := false.B) 587 } 588} 589 590// these 3 bundles help distribute trigger control signals from CSR 591// to Frontend, Load and Store. 592class FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle { 593 val t = Valid(new Bundle { 594 val addr = Output(UInt(2.W)) 595 val tdata = new MatchTriggerIO 596 }) 597 } 598 599class MemTdataDistributeIO(implicit p: Parameters) extends XSBundle { 600 val t = Valid(new Bundle { 601 val addr = Output(UInt(3.W)) 602 val tdata = new MatchTriggerIO 603 }) 604} 605 606class MatchTriggerIO(implicit p: Parameters) extends XSBundle { 607 val matchType = Output(UInt(2.W)) 608 val select = Output(Bool()) 609 val timing = Output(Bool()) 610 val action = Output(Bool()) 611 val chain = Output(Bool()) 612 val tdata2 = Output(UInt(64.W)) 613} 614