xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision 4eebf27404e3d0d81b9aee096f7a552ebe832d5c)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util.BitPat.bitPatToUInt
22import chisel3.util._
23import utility._
24import utils._
25import xiangshan.backend.decode.{ImmUnion, XDecode}
26import xiangshan.backend.fu.FuType
27import xiangshan.backend.rob.RobPtr
28import xiangshan.frontend._
29import xiangshan.mem.{LqPtr, SqPtr}
30import xiangshan.backend.Bundles.{DynInst, UopIdx}
31import xiangshan.backend.fu.vector.Bundles.VType
32import xiangshan.frontend.{AllAheadFoldedHistoryOldestBits, AllFoldedHistories, BPUCtrl, CGHPtr, FtqPtr, FtqToCtrlIO}
33import xiangshan.frontend.{Ftq_Redirect_SRAMEntry, HasBPUParameter, IfuToBackendIO, PreDecodeInfo, RASPtr}
34import xiangshan.cache.HasDCacheParameters
35import utility._
36
37import org.chipsalliance.cde.config.Parameters
38import chisel3.util.BitPat.bitPatToUInt
39import chisel3.util.experimental.decode.EspressoMinimizer
40import xiangshan.backend.CtrlToFtqIO
41import xiangshan.backend.fu.PMPEntry
42import xiangshan.frontend.Ftq_Redirect_SRAMEntry
43import xiangshan.frontend.AllFoldedHistories
44import xiangshan.frontend.AllAheadFoldedHistoryOldestBits
45import xiangshan.frontend.RASPtr
46import xiangshan.backend.rob.RobBundles.RobCommitEntryBundle
47
48class ValidUndirectioned[T <: Data](gen: T) extends Bundle {
49  val valid = Bool()
50  val bits = gen.cloneType.asInstanceOf[T]
51
52}
53
54object ValidUndirectioned {
55  def apply[T <: Data](gen: T) = {
56    new ValidUndirectioned[T](gen)
57  }
58}
59
60object RSFeedbackType {
61  val lrqFull         = 0.U(4.W)
62  val tlbMiss         = 1.U(4.W)
63  val mshrFull        = 2.U(4.W)
64  val dataInvalid     = 3.U(4.W)
65  val bankConflict    = 4.U(4.W)
66  val ldVioCheckRedo  = 5.U(4.W)
67  val feedbackInvalid = 7.U(4.W)
68  val issueSuccess    = 8.U(4.W)
69  val rfArbitFail     = 9.U(4.W)
70  val fuIdle          = 10.U(4.W)
71  val fuBusy          = 11.U(4.W)
72  val fuUncertain     = 12.U(4.W)
73
74  val allTypes = 16
75  def apply() = UInt(4.W)
76
77  def isStageSuccess(feedbackType: UInt) = {
78    feedbackType === issueSuccess
79  }
80
81  def isBlocked(feedbackType: UInt) = {
82    feedbackType === rfArbitFail || feedbackType === fuBusy || feedbackType >= lrqFull && feedbackType <= feedbackInvalid
83  }
84}
85
86class PredictorAnswer(implicit p: Parameters) extends XSBundle {
87  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
88  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
89  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
90}
91
92class CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter {
93  // from backend
94  val pc = UInt(VAddrBits.W)
95  // frontend -> backend -> frontend
96  val pd = new PreDecodeInfo
97  val ssp = UInt(log2Up(RasSize).W)
98  val sctr = UInt(log2Up(RasCtrSize).W)
99  val TOSW = new RASPtr
100  val TOSR = new RASPtr
101  val NOS = new RASPtr
102  val topAddr = UInt(VAddrBits.W)
103  // val hist = new ShiftingGlobalHistory
104  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
105  val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)
106  val lastBrNumOH = UInt((numBr+1).W)
107  val ghr = UInt(UbtbGHRLength.W)
108  val histPtr = new CGHPtr
109  val specCnt = Vec(numBr, UInt(10.W))
110  // need pipeline update
111  val br_hit = Bool() // if in ftb entry
112  val jr_hit = Bool() // if in ftb entry
113  val sc_hit = Bool() // if used in ftb entry, invalid if !br_hit
114  val predTaken = Bool()
115  val target = UInt(VAddrBits.W)
116  val taken = Bool()
117  val isMisPred = Bool()
118  val shift = UInt((log2Ceil(numBr)+1).W)
119  val addIntoHist = Bool()
120
121  def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = {
122    // this.hist := entry.ghist
123    this.folded_hist := entry.folded_hist
124    this.lastBrNumOH := entry.lastBrNumOH
125    this.afhob := entry.afhob
126    this.histPtr := entry.histPtr
127    this.ssp := entry.ssp
128    this.sctr := entry.sctr
129    this.TOSW := entry.TOSW
130    this.TOSR := entry.TOSR
131    this.NOS := entry.NOS
132    this.topAddr := entry.topAddr
133    this
134  }
135}
136
137// Dequeue DecodeWidth insts from Ibuffer
138class CtrlFlow(implicit p: Parameters) extends XSBundle {
139  val instr = UInt(32.W)
140  val pc = UInt(VAddrBits.W)
141  val foldpc = UInt(MemPredPCWidth.W)
142  val exceptionVec = ExceptionVec()
143  val trigger = new TriggerCf
144  val pd = new PreDecodeInfo
145  val pred_taken = Bool()
146  val crossPageIPFFix = Bool()
147  val storeSetHit = Bool() // inst has been allocated an store set
148  val waitForRobIdx = new RobPtr // store set predicted previous store robIdx
149  // Load wait is needed
150  // load inst will not be executed until former store (predicted by mdp) addr calcuated
151  val loadWaitBit = Bool()
152  // If (loadWaitBit && loadWaitStrict), strict load wait is needed
153  // load inst will not be executed until ALL former store addr calcuated
154  val loadWaitStrict = Bool()
155  val ssid = UInt(SSIDWidth.W)
156  val ftqPtr = new FtqPtr
157  val ftqOffset = UInt(log2Up(PredictWidth).W)
158}
159
160
161class FPUCtrlSignals(implicit p: Parameters) extends XSBundle {
162  val isAddSub = Bool() // swap23
163  val typeTagIn = UInt(1.W)
164  val typeTagOut = UInt(1.W)
165  val fromInt = Bool()
166  val wflags = Bool()
167  val fpWen = Bool()
168  val fmaCmd = UInt(2.W)
169  val div = Bool()
170  val sqrt = Bool()
171  val fcvt = Bool()
172  val typ = UInt(2.W)
173  val fmt = UInt(2.W)
174  val ren3 = Bool() //TODO: remove SrcType.fp
175  val rm = UInt(3.W)
176}
177
178// Decode DecodeWidth insts at Decode Stage
179class CtrlSignals(implicit p: Parameters) extends XSBundle {
180  val debug_globalID = UInt(XLEN.W)
181  val srcType = Vec(4, SrcType())
182  val lsrc = Vec(4, UInt(6.W))
183  val ldest = UInt(6.W)
184  val fuType = FuType()
185  val fuOpType = FuOpType()
186  val rfWen = Bool()
187  val fpWen = Bool()
188  val vecWen = Bool()
189  val isXSTrap = Bool()
190  val noSpecExec = Bool() // wait forward
191  val blockBackward = Bool() // block backward
192  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
193  val uopSplitType = UopSplitType()
194  val selImm = SelImm()
195  val imm = UInt(32.W)
196  val commitType = CommitType()
197  val fpu = new FPUCtrlSignals
198  val uopIdx = UopIdx()
199  val isMove = Bool()
200  val vm = Bool()
201  val singleStep = Bool()
202  // This inst will flush all the pipe when it is the oldest inst in ROB,
203  // then replay from this inst itself
204  val replayInst = Bool()
205  val canRobCompress = Bool()
206
207  private def allSignals = srcType.take(3) ++ Seq(fuType, fuOpType, rfWen, fpWen, vecWen,
208    isXSTrap, noSpecExec, blockBackward, flushPipe, canRobCompress, uopSplitType, selImm)
209
210  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = {
211    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table, EspressoMinimizer)
212    allSignals zip decoder foreach { case (s, d) => s := d }
213    commitType := DontCare
214    this
215  }
216
217  def decode(bit: List[BitPat]): CtrlSignals = {
218    allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d }
219    this
220  }
221
222  def isWFI: Bool = fuType === FuType.csr.U && fuOpType === CSROpType.wfi
223  def isSoftPrefetch: Bool = {
224    fuType === FuType.alu.U && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U
225  }
226  def needWriteRf: Bool = (rfWen && ldest =/= 0.U) || fpWen || vecWen
227  def isHyperInst: Bool = {
228    fuType === FuType.ldu.U && LSUOpType.isHlv(fuOpType) || fuType === FuType.stu.U && LSUOpType.isHsv(fuOpType)
229  }
230}
231
232class CfCtrl(implicit p: Parameters) extends XSBundle {
233  val cf = new CtrlFlow
234  val ctrl = new CtrlSignals
235}
236
237class PerfDebugInfo(implicit p: Parameters) extends XSBundle {
238  val eliminatedMove = Bool()
239  // val fetchTime = UInt(XLEN.W)
240  val renameTime = UInt(XLEN.W)
241  val dispatchTime = UInt(XLEN.W)
242  val enqRsTime = UInt(XLEN.W)
243  val selectTime = UInt(XLEN.W)
244  val issueTime = UInt(XLEN.W)
245  val writebackTime = UInt(XLEN.W)
246  // val commitTime = UInt(XLEN.W)
247  val runahead_checkpoint_id = UInt(XLEN.W)
248  val tlbFirstReqTime = UInt(XLEN.W)
249  val tlbRespTime = UInt(XLEN.W) // when getting hit result (including delay in L2TLB hit)
250}
251
252// Separate LSQ
253class LSIdx(implicit p: Parameters) extends XSBundle {
254  val lqIdx = new LqPtr
255  val sqIdx = new SqPtr
256}
257
258// CfCtrl -> MicroOp at Rename Stage
259class MicroOp(implicit p: Parameters) extends CfCtrl {
260  val srcState = Vec(4, SrcState())
261  val psrc = Vec(4, UInt(PhyRegIdxWidth.W))
262  val pdest = UInt(PhyRegIdxWidth.W)
263  val robIdx = new RobPtr
264  val instrSize = UInt(log2Ceil(RenameWidth + 1).W)
265  val lqIdx = new LqPtr
266  val sqIdx = new SqPtr
267  val eliminatedMove = Bool()
268  val snapshot = Bool()
269  val debugInfo = new PerfDebugInfo
270  def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = {
271    val stateReady = srcState(index) === SrcState.rdy || ignoreState.B
272    val readReg = if (isFp) {
273      ctrl.srcType(index) === SrcType.fp
274    } else {
275      ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U
276    }
277    readReg && stateReady
278  }
279  def srcIsReady: Vec[Bool] = {
280    VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy })
281  }
282  def clearExceptions(
283    exceptionBits: Seq[Int] = Seq(),
284    flushPipe: Boolean = false,
285    replayInst: Boolean = false
286  ): MicroOp = {
287    cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B)
288    if (!flushPipe) { ctrl.flushPipe := false.B }
289    if (!replayInst) { ctrl.replayInst := false.B }
290    this
291  }
292}
293
294class XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle {
295  val uop = new DynInst
296}
297
298class MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp {
299  val flag = UInt(1.W)
300}
301
302class Redirect(implicit p: Parameters) extends XSBundle {
303  val isRVC = Bool()
304  val robIdx = new RobPtr
305  val ftqIdx = new FtqPtr
306  val ftqOffset = UInt(log2Up(PredictWidth).W)
307  val level = RedirectLevel()
308  val interrupt = Bool()
309  val cfiUpdate = new CfiUpdateInfo
310
311  val stFtqIdx = new FtqPtr // for load violation predict
312  val stFtqOffset = UInt(log2Up(PredictWidth).W)
313
314  val debug_runahead_checkpoint_id = UInt(64.W)
315  val debugIsCtrl = Bool()
316  val debugIsMemVio = Bool()
317
318  def flushItself() = RedirectLevel.flushItself(level)
319}
320
321class ResetPregStateReq(implicit p: Parameters) extends XSBundle {
322  // NOTE: set isInt and isFp both to 'false' when invalid
323  val isInt = Bool()
324  val isFp = Bool()
325  val preg = UInt(PhyRegIdxWidth.W)
326}
327
328class DebugBundle(implicit p: Parameters) extends XSBundle {
329  val isMMIO = Bool()
330  val isPerfCnt = Bool()
331  val paddr = UInt(PAddrBits.W)
332  val vaddr = UInt(VAddrBits.W)
333  /* add L/S inst info in EXU */
334  // val L1toL2TlbLatency = UInt(XLEN.W)
335  // val levelTlbHit = UInt(2.W)
336}
337
338class ExternalInterruptIO(implicit p: Parameters) extends XSBundle {
339  val mtip = Input(Bool())
340  val msip = Input(Bool())
341  val meip = Input(Bool())
342  val seip = Input(Bool())
343  val debug = Input(Bool())
344}
345
346class CSRSpecialIO(implicit p: Parameters) extends XSBundle {
347  val exception = Flipped(ValidIO(new DynInst))
348  val isInterrupt = Input(Bool())
349  val memExceptionVAddr = Input(UInt(VAddrBits.W))
350  val trapTarget = Output(UInt(VAddrBits.W))
351  val externalInterrupt = new ExternalInterruptIO
352  val interrupt = Output(Bool())
353}
354
355class DiffCommitIO(implicit p: Parameters) extends XSBundle {
356  val isCommit = Bool()
357  val commitValid = Vec(CommitWidth * MaxUopSize, Bool())
358
359  val info = Vec(CommitWidth * MaxUopSize, new RabCommitInfo)
360}
361
362class RobCommitInfo(implicit p: Parameters) extends RobCommitEntryBundle
363
364class RobCommitIO(implicit p: Parameters) extends XSBundle {
365  val isCommit = Bool()
366  val commitValid = Vec(CommitWidth, Bool())
367
368  val isWalk = Bool()
369  // valid bits optimized for walk
370  val walkValid = Vec(CommitWidth, Bool())
371
372  val info = Vec(CommitWidth, new RobCommitInfo)
373  val robIdx = Vec(CommitWidth, new RobPtr)
374
375  def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR
376  def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR
377}
378
379class RabCommitInfo(implicit p: Parameters) extends XSBundle {
380  val ldest = UInt(6.W)
381  val pdest = UInt(PhyRegIdxWidth.W)
382  val rfWen = Bool()
383  val fpWen = Bool()
384  val vecWen = Bool()
385  val isMove = Bool()
386}
387
388class RabCommitIO(implicit p: Parameters) extends XSBundle {
389  val isCommit = Bool()
390  val commitValid = Vec(RabCommitWidth, Bool())
391
392  val isWalk = Bool()
393  // valid bits optimized for walk
394  val walkValid = Vec(RabCommitWidth, Bool())
395
396  val info = Vec(RabCommitWidth, new RabCommitInfo)
397  val robIdx = OptionWrapper(!env.FPGAPlatform, Vec(RabCommitWidth, new RobPtr))
398
399  def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR
400  def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR
401}
402
403class SnapshotPort(implicit p: Parameters) extends XSBundle {
404  val snptEnq = Bool()
405  val snptDeq = Bool()
406  val useSnpt = Bool()
407  val snptSelect = UInt(log2Ceil(RenameSnapshotNum).W)
408  val flushVec = Vec(RenameSnapshotNum, Bool())
409}
410
411class RSFeedback(implicit p: Parameters) extends XSBundle {
412  val robIdx = new RobPtr
413  val hit = Bool()
414  val flushState = Bool()
415  val sourceType = RSFeedbackType()
416  val dataInvalidSqIdx = new SqPtr
417}
418
419class MemRSFeedbackIO(implicit p: Parameters) extends XSBundle {
420  // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO
421  // for instance: MemRSFeedbackIO()(updateP)
422  val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss
423  val feedbackFast = ValidIO(new RSFeedback()) // bank conflict
424}
425
426class LoadCancelIO(implicit p: Parameters) extends XSBundle {
427  val ld1Cancel = Bool()
428  val ld2Cancel = Bool()
429}
430
431class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle {
432  // to backend end
433  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
434  val stallReason = new StallReasonIO(DecodeWidth)
435  val fromFtq = new FtqToCtrlIO
436  val fromIfu = new IfuToBackendIO
437  // from backend
438  val toFtq = Flipped(new CtrlToFtqIO)
439  val canAccept = Input(Bool())
440}
441
442class SatpStruct(implicit p: Parameters) extends XSBundle {
443  val mode = UInt(4.W)
444  val asid = UInt(16.W)
445  val ppn  = UInt(44.W)
446}
447
448class TlbSatpBundle(implicit p: Parameters) extends SatpStruct {
449  val changed = Bool()
450
451  def apply(satp_value: UInt): Unit = {
452    require(satp_value.getWidth == XLEN)
453    val sa = satp_value.asTypeOf(new SatpStruct)
454    mode := sa.mode
455    asid := sa.asid
456    ppn := Cat(0.U((44-PAddrBits).W), sa.ppn(PAddrBits-1, 0)).asUInt
457    changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush
458  }
459}
460
461class TlbCsrBundle(implicit p: Parameters) extends XSBundle {
462  val satp = new TlbSatpBundle()
463  val vsatp = new TlbSatpBundle()
464  val hgatp = new TlbSatpBundle()
465  val priv = new Bundle {
466    val mxr = Bool()
467    val sum = Bool()
468    val vmxr = Bool()
469    val vsum = Bool()
470    val virt = Bool()
471    val spvp = UInt(1.W)
472    val imode = UInt(2.W)
473    val dmode = UInt(2.W)
474  }
475
476  override def toPrintable: Printable = {
477    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
478      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
479  }
480}
481
482class SfenceBundle(implicit p: Parameters) extends XSBundle {
483  val valid = Bool()
484  val bits = new Bundle {
485    val rs1 = Bool()
486    val rs2 = Bool()
487    val addr = UInt(VAddrBits.W)
488    val id = UInt((AsidLength).W) // asid or vmid
489    val flushPipe = Bool()
490    val hv = Bool()
491    val hg = Bool()
492  }
493
494  override def toPrintable: Printable = {
495    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}"
496  }
497}
498
499// Bundle for load violation predictor updating
500class MemPredUpdateReq(implicit p: Parameters) extends XSBundle  {
501  val valid = Bool()
502
503  // wait table update
504  val waddr = UInt(MemPredPCWidth.W)
505  val wdata = Bool() // true.B by default
506
507  // store set update
508  // by default, ldpc/stpc should be xor folded
509  val ldpc = UInt(MemPredPCWidth.W)
510  val stpc = UInt(MemPredPCWidth.W)
511}
512
513class CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle {
514  // Prefetcher
515  val l1I_pf_enable = Output(Bool())
516  val l2_pf_enable = Output(Bool())
517  val l1D_pf_enable = Output(Bool())
518  val l1D_pf_train_on_hit = Output(Bool())
519  val l1D_pf_enable_agt = Output(Bool())
520  val l1D_pf_enable_pht = Output(Bool())
521  val l1D_pf_active_threshold = Output(UInt(4.W))
522  val l1D_pf_active_stride = Output(UInt(6.W))
523  val l1D_pf_enable_stride = Output(Bool())
524  val l2_pf_store_only = Output(Bool())
525  // ICache
526  val icache_parity_enable = Output(Bool())
527  // Labeled XiangShan
528  val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter
529  // Load violation predictor
530  val lvpred_disable = Output(Bool())
531  val no_spec_load = Output(Bool())
532  val storeset_wait_store = Output(Bool())
533  val storeset_no_fast_wakeup = Output(Bool())
534  val lvpred_timeout = Output(UInt(5.W))
535  // Branch predictor
536  val bp_ctrl = Output(new BPUCtrl)
537  // Memory Block
538  val sbuffer_threshold = Output(UInt(4.W))
539  val ldld_vio_check_enable = Output(Bool())
540  val soft_prefetch_enable = Output(Bool())
541  val cache_error_enable = Output(Bool())
542  val uncache_write_outstanding_enable = Output(Bool())
543  // Rename
544  val fusion_enable = Output(Bool())
545  val wfi_enable = Output(Bool())
546  // Decode
547  val svinval_enable = Output(Bool())
548
549  // distribute csr write signal
550  val distribute_csr = new DistributedCSRIO()
551  // TODO: move it to a new bundle, since single step is not a custom control signal
552  val singlestep = Output(Bool())
553  val frontend_trigger = new FrontendTdataDistributeIO()
554  val mem_trigger = new MemTdataDistributeIO()
555  // Virtualization Mode
556  val virtMode = Output(Bool())
557}
558
559class DistributedCSRIO(implicit p: Parameters) extends XSBundle {
560  // CSR has been written by csr inst, copies of csr should be updated
561  val w = ValidIO(new Bundle {
562    val addr = Output(UInt(12.W))
563    val data = Output(UInt(XLEN.W))
564  })
565}
566
567class DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle {
568  // Request csr to be updated
569  //
570  // Note that this request will ONLY update CSR Module it self,
571  // copies of csr will NOT be updated, use it with care!
572  //
573  // For each cycle, no more than 1 DistributedCSRUpdateReq is valid
574  val w = ValidIO(new Bundle {
575    val addr = Output(UInt(12.W))
576    val data = Output(UInt(XLEN.W))
577  })
578  def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = {
579    when(valid){
580      w.bits.addr := addr
581      w.bits.data := data
582    }
583    println("Distributed CSR update req registered for " + src_description)
584  }
585}
586
587class L1CacheErrorInfo(implicit p: Parameters) extends XSBundle {
588  // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR
589  val source = Output(new Bundle() {
590    val tag = Bool() // l1 tag array
591    val data = Bool() // l1 data array
592    val l2 = Bool()
593  })
594  val opType = Output(new Bundle() {
595    val fetch = Bool()
596    val load = Bool()
597    val store = Bool()
598    val probe = Bool()
599    val release = Bool()
600    val atom = Bool()
601  })
602  val paddr = Output(UInt(PAddrBits.W))
603
604  // report error and paddr to beu
605  // bus error unit will receive error info iff ecc_error.valid
606  val report_to_beu = Output(Bool())
607
608  // there is an valid error
609  // l1 cache error will always be report to CACHE_ERROR csr
610  val valid = Output(Bool())
611
612  def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = {
613    val beu_info = Wire(new L1BusErrorUnitInfo)
614    beu_info.ecc_error.valid := report_to_beu
615    beu_info.ecc_error.bits := paddr
616    beu_info
617  }
618}
619
620class TriggerCf(implicit p: Parameters) extends XSBundle {
621  // frontend
622  val frontendHit       = Vec(TriggerNum, Bool()) // en && hit
623  val frontendCanFire   = Vec(TriggerNum, Bool())
624  // backend
625  val backendHit        = Vec(TriggerNum, Bool())
626  val backendCanFire    = Vec(TriggerNum, Bool())
627
628  // Two situations not allowed:
629  // 1. load data comparison
630  // 2. store chaining with store
631  def getFrontendCanFire = frontendCanFire.reduce(_ || _)
632  def getBackendCanFire = backendCanFire.reduce(_ || _)
633  def canFire = getFrontendCanFire || getBackendCanFire
634  def clear(): Unit = {
635    frontendHit.foreach(_ := false.B)
636    frontendCanFire.foreach(_ := false.B)
637    backendHit.foreach(_ := false.B)
638    backendCanFire.foreach(_ := false.B)
639  }
640}
641
642// these 3 bundles help distribute trigger control signals from CSR
643// to Frontend, Load and Store.
644class FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle {
645  val tUpdate = ValidIO(new Bundle {
646    val addr = Output(UInt(log2Up(TriggerNum).W))
647    val tdata = new MatchTriggerIO
648  })
649  val tEnableVec: Vec[Bool] = Output(Vec(TriggerNum, Bool()))
650}
651
652class MemTdataDistributeIO(implicit p: Parameters) extends XSBundle {
653  val tUpdate = ValidIO(new Bundle {
654    val addr = Output(UInt(log2Up(TriggerNum).W))
655    val tdata = new MatchTriggerIO
656  })
657  val tEnableVec: Vec[Bool] = Output(Vec(TriggerNum, Bool()))
658}
659
660class MatchTriggerIO(implicit p: Parameters) extends XSBundle {
661  val matchType = Output(UInt(2.W))
662  val select = Output(Bool())
663  val timing = Output(Bool())
664  val action = Output(Bool())
665  val chain = Output(Bool())
666  val execute = Output(Bool())
667  val store = Output(Bool())
668  val load = Output(Bool())
669  val tdata2 = Output(UInt(64.W))
670}
671
672class StallReasonIO(width: Int) extends Bundle {
673  val reason = Output(Vec(width, UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W)))
674  val backReason = Flipped(Valid(UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W)))
675}
676
677// custom l2 - l1 interface
678class L2ToL1Hint(implicit p: Parameters) extends XSBundle with HasDCacheParameters {
679  val sourceId = UInt(log2Up(cfg.nMissEntries).W)    // tilelink sourceID -> mshr id
680  val isKeyword = Bool()                             // miss entry keyword -> L1 load queue replay
681}
682
683