1package xiangshan 2 3import chisel3._ 4import chisel3.util._ 5import bus.simplebus._ 6import xiangshan.backend.brq.BrqPtr 7import xiangshan.backend.rename.FreeListPtr 8import xiangshan.backend.roq.RoqPtr 9import xiangshan.frontend.PreDecodeInfo 10import xiangshan.frontend.HasBPUParameter 11import xiangshan.frontend.HasTageParameter 12 13// Fetch FetchWidth x 32-bit insts from Icache 14class FetchPacket extends XSBundle { 15 val instrs = Vec(PredictWidth, UInt(32.W)) 16 val mask = UInt(PredictWidth.W) 17 // val pc = UInt(VAddrBits.W) 18 val pc = Vec(PredictWidth, UInt(VAddrBits.W)) 19 val pnpc = Vec(PredictWidth, UInt(VAddrBits.W)) 20 val brInfo = Vec(PredictWidth, new BranchInfo) 21 val pd = Vec(PredictWidth, new PreDecodeInfo) 22 val ipf = Bool() 23 val crossPageIPFFix = Bool() 24} 25 26class ValidUndirectioned[T <: Data](gen: T) extends Bundle { 27 val valid = Bool() 28 val bits = gen.cloneType.asInstanceOf[T] 29 override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type] 30} 31 32object ValidUndirectioned { 33 def apply[T <: Data](gen: T) = { 34 new ValidUndirectioned[T](gen) 35 } 36} 37 38class TageMeta extends XSBundle with HasTageParameter { 39 val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W)) 40 val altDiffers = Bool() 41 val providerU = UInt(2.W) 42 val providerCtr = UInt(3.W) 43 val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W)) 44} 45 46class BranchPrediction extends XSBundle { 47 val redirect = Bool() 48 val taken = Bool() 49 val jmpIdx = UInt(log2Up(PredictWidth).W) 50 val hasNotTakenBrs = Bool() 51 val target = UInt(VAddrBits.W) 52 val saveHalfRVI = Bool() 53 val takenOnBr = Bool() 54} 55 56class BranchInfo extends XSBundle with HasBPUParameter { 57 val ubtbWriteWay = UInt(log2Up(UBtbWays).W) 58 val ubtbHits = Bool() 59 val btbWriteWay = UInt(log2Up(BtbWays).W) 60 val btbHitJal = Bool() 61 val bimCtr = UInt(2.W) 62 val histPtr = UInt(log2Up(ExtHistoryLength).W) 63 val predHistPtr = UInt(log2Up(ExtHistoryLength).W) 64 val tageMeta = new TageMeta 65 val rasSp = UInt(log2Up(RasSize).W) 66 val rasTopCtr = UInt(8.W) 67 val rasToqAddr = UInt(VAddrBits.W) 68 val fetchIdx = UInt(log2Up(PredictWidth).W) 69 val specCnt = UInt(10.W) 70 val sawNotTakenBranch = Bool() 71 72 val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W) 73 val debug_btb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W) 74 val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W) 75 76 def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = { 77 this.histPtr := histPtr 78 this.tageMeta := tageMeta 79 this.rasSp := rasSp 80 this.rasTopCtr := rasTopCtr 81 this.asUInt 82 } 83 def size = 0.U.asTypeOf(this).getWidth 84 def fromUInt(x: UInt) = x.asTypeOf(this) 85} 86 87class Predecode extends XSBundle { 88 val isFetchpcEqualFirstpc = Bool() 89 val mask = UInt((FetchWidth*2).W) 90 val pd = Vec(FetchWidth*2, (new PreDecodeInfo)) 91} 92 93class BranchUpdateInfo extends XSBundle { 94 // from backend 95 val pc = UInt(VAddrBits.W) 96 val pnpc = UInt(VAddrBits.W) 97 val target = UInt(VAddrBits.W) 98 val brTarget = UInt(VAddrBits.W) 99 val taken = Bool() 100 val fetchIdx = UInt(log2Up(FetchWidth*2).W) 101 val isMisPred = Bool() 102 val brTag = new BrqPtr 103 104 // frontend -> backend -> frontend 105 val pd = new PreDecodeInfo 106 val brInfo = new BranchInfo 107} 108 109// Dequeue DecodeWidth insts from Ibuffer 110class CtrlFlow extends XSBundle { 111 val instr = UInt(32.W) 112 val pc = UInt(VAddrBits.W) 113 val exceptionVec = Vec(16, Bool()) 114 val intrVec = Vec(12, Bool()) 115 val brUpdate = new BranchUpdateInfo 116 val crossPageIPFFix = Bool() 117} 118 119// Decode DecodeWidth insts at Decode Stage 120class CtrlSignals extends XSBundle { 121 val src1Type, src2Type, src3Type = SrcType() 122 val lsrc1, lsrc2, lsrc3 = UInt(5.W) 123 val ldest = UInt(5.W) 124 val fuType = FuType() 125 val fuOpType = FuOpType() 126 val rfWen = Bool() 127 val fpWen = Bool() 128 val isXSTrap = Bool() 129 val noSpecExec = Bool() // This inst can not be speculated 130 val isBlocked = Bool() // This inst requires pipeline to be blocked 131 val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 132 val isRVF = Bool() 133 val imm = UInt(XLEN.W) 134 val commitType = CommitType() 135} 136 137class CfCtrl extends XSBundle { 138 val cf = new CtrlFlow 139 val ctrl = new CtrlSignals 140 val brTag = new BrqPtr 141} 142 143// trait HasRoqIdx { this: HasXSParameter => 144 145 146// def isAfter(thatIdx: UInt): Bool = { 147// Mux( 148// this.roqIdx.head(1) === thatIdx.head(1), 149// this.roqIdx.tail(1) > thatIdx.tail(1), 150// this.roqIdx.tail(1) < thatIdx.tail(1) 151// ) 152// } 153 154// def isAfter[ T<: HasRoqIdx ](that: T): Bool = { 155// isAfter(that.roqIdx) 156// } 157 158// def needFlush(redirect: Valid[Redirect]): Bool = { 159// redirect.valid && (redirect.bits.isException || redirect.bits.isFlushPipe || this.isAfter(redirect.bits.roqIdx)) // TODO: need check by JiaWei 160// } 161// } 162 163// CfCtrl -> MicroOp at Rename Stage 164class MicroOp extends CfCtrl /*with HasRoqIdx*/ { 165 val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W) 166 val src1State, src2State, src3State = SrcState() 167 val roqIdx = new RoqPtr 168 val lsroqIdx = UInt(LsroqIdxWidth.W) 169 val diffTestDebugLrScValid = Bool() 170} 171 172class Redirect extends XSBundle /*with HasRoqIdx*/ { 173 val roqIdx = new RoqPtr 174 val isException = Bool() 175 val isMisPred = Bool() 176 val isReplay = Bool() 177 val isFlushPipe = Bool() 178 val pc = UInt(VAddrBits.W) 179 val target = UInt(VAddrBits.W) 180 val brTag = new BrqPtr 181} 182 183class Dp1ToDp2IO extends XSBundle { 184 val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 185 val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp)) 186 val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp)) 187} 188 189class ReplayPregReq extends XSBundle { 190 // NOTE: set isInt and isFp both to 'false' when invalid 191 val isInt = Bool() 192 val isFp = Bool() 193 val preg = UInt(PhyRegIdxWidth.W) 194} 195 196class DebugBundle extends XSBundle{ 197 val isMMIO = Bool() 198} 199 200class ExuInput extends XSBundle { 201 val uop = new MicroOp 202 val src1, src2, src3 = UInt(XLEN.W) 203} 204 205class ExuOutput extends XSBundle { 206 val uop = new MicroOp 207 val data = UInt(XLEN.W) 208 val redirectValid = Bool() 209 val redirect = new Redirect 210 val brUpdate = new BranchUpdateInfo 211 val debug = new DebugBundle 212} 213 214class ExuIO extends XSBundle { 215 val in = Flipped(DecoupledIO(new ExuInput)) 216 val redirect = Flipped(ValidIO(new Redirect)) 217 val out = DecoupledIO(new ExuOutput) 218 // for csr 219 val exception = Flipped(ValidIO(new MicroOp)) 220 // for Lsu 221 val dmem = new SimpleBusUC 222 val mcommit = Input(UInt(3.W)) 223} 224 225class RoqCommit extends XSBundle { 226 val uop = new MicroOp 227 val isWalk = Bool() 228} 229 230class TlbFeedback extends XSBundle { 231 val roqIdx = new RoqPtr 232 val hit = Bool() 233} 234 235class FrontendToBackendIO extends XSBundle { 236 // to backend end 237 val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 238 // from backend 239 val redirect = Flipped(ValidIO(new Redirect)) 240 val outOfOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo)) 241 val inOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo)) 242} 243 244class TlbCsrBundle extends XSBundle { 245 val satp = new Bundle { 246 val mode = UInt(4.W) // TODO: may change number to parameter 247 val asid = UInt(16.W) 248 val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen 249 } 250 val priv = new Bundle { 251 val mxr = Bool() 252 val sum = Bool() 253 val imode = UInt(2.W) 254 val dmode = UInt(2.W) 255 } 256 257 override def toPrintable: Printable = { 258 p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 259 p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 260 } 261} 262 263class SfenceBundle extends XSBundle { 264 val valid = Bool() 265 val bits = new Bundle { 266 val rs1 = Bool() 267 val rs2 = Bool() 268 val addr = UInt(VAddrBits.W) 269 } 270 271 override def toPrintable: Printable = { 272 p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}" 273 } 274} 275