1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan 18 19import chisel3._ 20import chisel3.util._ 21import xiangshan.backend.rob.RobPtr 22import xiangshan.backend.CtrlToFtqIO 23import xiangshan.backend.decode.{ImmUnion, XDecode} 24import xiangshan.mem.{LqPtr, SqPtr} 25import xiangshan.frontend.PreDecodeInfo 26import xiangshan.frontend.HasBPUParameter 27import xiangshan.frontend.{AllFoldedHistories, CircularGlobalHistory, GlobalHistory, ShiftingGlobalHistory} 28import xiangshan.frontend.RASEntry 29import xiangshan.frontend.BPUCtrl 30import xiangshan.frontend.FtqPtr 31import xiangshan.frontend.CGHPtr 32import xiangshan.frontend.FtqRead 33import xiangshan.frontend.FtqToCtrlIO 34import utils._ 35 36import scala.math.max 37import Chisel.experimental.chiselName 38import chipsalliance.rocketchip.config.Parameters 39import chisel3.util.BitPat.bitPatToUInt 40import xiangshan.backend.exu.ExuConfig 41import xiangshan.backend.fu.PMPEntry 42import xiangshan.frontend.Ftq_Redirect_SRAMEntry 43import xiangshan.frontend.AllFoldedHistories 44import xiangshan.frontend.AllAheadFoldedHistoryOldestBits 45 46class ValidUndirectioned[T <: Data](gen: T) extends Bundle { 47 val valid = Bool() 48 val bits = gen.cloneType.asInstanceOf[T] 49 50} 51 52object ValidUndirectioned { 53 def apply[T <: Data](gen: T) = { 54 new ValidUndirectioned[T](gen) 55 } 56} 57 58object RSFeedbackType { 59 val tlbMiss = 0.U(3.W) 60 val mshrFull = 1.U(3.W) 61 val dataInvalid = 2.U(3.W) 62 val bankConflict = 3.U(3.W) 63 val ldVioCheckRedo = 4.U(3.W) 64 65 def apply() = UInt(3.W) 66} 67 68class PredictorAnswer(implicit p: Parameters) extends XSBundle { 69 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 70 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 71 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 72} 73 74class CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 75 // from backend 76 val pc = UInt(VAddrBits.W) 77 // frontend -> backend -> frontend 78 val pd = new PreDecodeInfo 79 val rasSp = UInt(log2Up(RasSize).W) 80 val rasEntry = new RASEntry 81 // val hist = new ShiftingGlobalHistory 82 val folded_hist = new AllFoldedHistories(foldedGHistInfos) 83 val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos) 84 val lastBrNumOH = UInt((numBr+1).W) 85 val ghr = UInt(UbtbGHRLength.W) 86 val histPtr = new CGHPtr 87 val specCnt = Vec(numBr, UInt(10.W)) 88 // need pipeline update 89 val br_hit = Bool() 90 val predTaken = Bool() 91 val target = UInt(VAddrBits.W) 92 val taken = Bool() 93 val isMisPred = Bool() 94 val shift = UInt((log2Ceil(numBr)+1).W) 95 val addIntoHist = Bool() 96 97 def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = { 98 // this.hist := entry.ghist 99 this.folded_hist := entry.folded_hist 100 this.lastBrNumOH := entry.lastBrNumOH 101 this.afhob := entry.afhob 102 this.histPtr := entry.histPtr 103 this.rasSp := entry.rasSp 104 this.rasEntry := entry.rasEntry 105 this 106 } 107} 108 109// Dequeue DecodeWidth insts from Ibuffer 110class CtrlFlow(implicit p: Parameters) extends XSBundle { 111 val instr = UInt(32.W) 112 val pc = UInt(VAddrBits.W) 113 val foldpc = UInt(MemPredPCWidth.W) 114 val exceptionVec = ExceptionVec() 115 val trigger = new TriggerCf 116 val intrVec = Vec(12, Bool()) 117 val pd = new PreDecodeInfo 118 val pred_taken = Bool() 119 val crossPageIPFFix = Bool() 120 val storeSetHit = Bool() // inst has been allocated an store set 121 val waitForRobIdx = new RobPtr // store set predicted previous store robIdx 122 // Load wait is needed 123 // load inst will not be executed until former store (predicted by mdp) addr calcuated 124 val loadWaitBit = Bool() 125 // If (loadWaitBit && loadWaitStrict), strict load wait is needed 126 // load inst will not be executed until ALL former store addr calcuated 127 val loadWaitStrict = Bool() 128 val ssid = UInt(SSIDWidth.W) 129 val ftqPtr = new FtqPtr 130 val ftqOffset = UInt(log2Up(PredictWidth).W) 131 // This inst will flush all the pipe when it is the oldest inst in ROB, 132 // then replay from this inst itself 133 val replayInst = Bool() 134} 135 136 137class FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 138 val isAddSub = Bool() // swap23 139 val typeTagIn = UInt(1.W) 140 val typeTagOut = UInt(1.W) 141 val fromInt = Bool() 142 val wflags = Bool() 143 val fpWen = Bool() 144 val fmaCmd = UInt(2.W) 145 val div = Bool() 146 val sqrt = Bool() 147 val fcvt = Bool() 148 val typ = UInt(2.W) 149 val fmt = UInt(2.W) 150 val ren3 = Bool() //TODO: remove SrcType.fp 151 val rm = UInt(3.W) 152} 153 154// Decode DecodeWidth insts at Decode Stage 155class CtrlSignals(implicit p: Parameters) extends XSBundle { 156 val srcType = Vec(3, SrcType()) 157 val lsrc = Vec(3, UInt(5.W)) 158 val ldest = UInt(5.W) 159 val fuType = FuType() 160 val fuOpType = FuOpType() 161 val rfWen = Bool() 162 val fpWen = Bool() 163 val isXSTrap = Bool() 164 val noSpecExec = Bool() // wait forward 165 val blockBackward = Bool() // block backward 166 val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 167 val selImm = SelImm() 168 val imm = UInt(ImmUnion.maxLen.W) 169 val commitType = CommitType() 170 val fpu = new FPUCtrlSignals 171 val isMove = Bool() 172 val singleStep = Bool() 173 // This inst will flush all the pipe when it is the oldest inst in ROB, 174 // then replay from this inst itself 175 val replayInst = Bool() 176 177 private def allSignals = srcType ++ Seq(fuType, fuOpType, rfWen, fpWen, 178 isXSTrap, noSpecExec, blockBackward, flushPipe, selImm) 179 180 def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = { 181 val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table) 182 allSignals zip decoder foreach { case (s, d) => s := d } 183 commitType := DontCare 184 this 185 } 186 187 def decode(bit: List[BitPat]): CtrlSignals = { 188 allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d } 189 this 190 } 191 192 def isWFI: Bool = fuType === FuType.csr && fuOpType === CSROpType.wfi 193} 194 195class CfCtrl(implicit p: Parameters) extends XSBundle { 196 val cf = new CtrlFlow 197 val ctrl = new CtrlSignals 198} 199 200class PerfDebugInfo(implicit p: Parameters) extends XSBundle { 201 val eliminatedMove = Bool() 202 // val fetchTime = UInt(64.W) 203 val renameTime = UInt(XLEN.W) 204 val dispatchTime = UInt(XLEN.W) 205 val enqRsTime = UInt(XLEN.W) 206 val selectTime = UInt(XLEN.W) 207 val issueTime = UInt(XLEN.W) 208 val writebackTime = UInt(XLEN.W) 209 // val commitTime = UInt(64.W) 210 val runahead_checkpoint_id = UInt(64.W) 211} 212 213// Separate LSQ 214class LSIdx(implicit p: Parameters) extends XSBundle { 215 val lqIdx = new LqPtr 216 val sqIdx = new SqPtr 217} 218 219// CfCtrl -> MicroOp at Rename Stage 220class MicroOp(implicit p: Parameters) extends CfCtrl { 221 val srcState = Vec(3, SrcState()) 222 val psrc = Vec(3, UInt(PhyRegIdxWidth.W)) 223 val pdest = UInt(PhyRegIdxWidth.W) 224 val old_pdest = UInt(PhyRegIdxWidth.W) 225 val robIdx = new RobPtr 226 val lqIdx = new LqPtr 227 val sqIdx = new SqPtr 228 val eliminatedMove = Bool() 229 val debugInfo = new PerfDebugInfo 230 def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = { 231 val stateReady = srcState(index) === SrcState.rdy || ignoreState.B 232 val readReg = if (isFp) { 233 ctrl.srcType(index) === SrcType.fp 234 } else { 235 ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U 236 } 237 readReg && stateReady 238 } 239 def srcIsReady: Vec[Bool] = { 240 VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy }) 241 } 242 def clearExceptions( 243 exceptionBits: Seq[Int] = Seq(), 244 flushPipe: Boolean = false, 245 replayInst: Boolean = false 246 ): MicroOp = { 247 cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B) 248 if (!flushPipe) { ctrl.flushPipe := false.B } 249 if (!replayInst) { ctrl.replayInst := false.B } 250 this 251 } 252 // Assume only the LUI instruction is decoded with IMM_U in ALU. 253 def isLUI: Bool = ctrl.selImm === SelImm.IMM_U && ctrl.fuType === FuType.alu 254 // This MicroOp is used to wakeup another uop (the successor: (psrc, srcType). 255 def wakeup(successor: Seq[(UInt, UInt)], exuCfg: ExuConfig): Seq[(Bool, Bool)] = { 256 successor.map{ case (src, srcType) => 257 val pdestMatch = pdest === src 258 // For state: no need to check whether src is x0/imm/pc because they are always ready. 259 val rfStateMatch = if (exuCfg.readIntRf) ctrl.rfWen else false.B 260 val fpMatch = if (exuCfg.readFpRf) ctrl.fpWen else false.B 261 val bothIntFp = exuCfg.readIntRf && exuCfg.readFpRf 262 val bothStateMatch = Mux(SrcType.regIsFp(srcType), fpMatch, rfStateMatch) 263 val stateCond = pdestMatch && (if (bothIntFp) bothStateMatch else rfStateMatch || fpMatch) 264 // For data: types are matched and int pdest is not $zero. 265 val rfDataMatch = if (exuCfg.readIntRf) ctrl.rfWen && src =/= 0.U else false.B 266 val dataCond = pdestMatch && (rfDataMatch && SrcType.isReg(srcType) || fpMatch && SrcType.isFp(srcType)) 267 (stateCond, dataCond) 268 } 269 } 270 // This MicroOp is used to wakeup another uop (the successor: MicroOp). 271 def wakeup(successor: MicroOp, exuCfg: ExuConfig): Seq[(Bool, Bool)] = { 272 wakeup(successor.psrc.zip(successor.ctrl.srcType), exuCfg) 273 } 274} 275 276class XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle { 277 val uop = new MicroOp 278} 279 280class MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp { 281 val flag = UInt(1.W) 282} 283 284class Redirect(implicit p: Parameters) extends XSBundle { 285 val robIdx = new RobPtr 286 val ftqIdx = new FtqPtr 287 val ftqOffset = UInt(log2Up(PredictWidth).W) 288 val level = RedirectLevel() 289 val interrupt = Bool() 290 val cfiUpdate = new CfiUpdateInfo 291 292 val stFtqIdx = new FtqPtr // for load violation predict 293 val stFtqOffset = UInt(log2Up(PredictWidth).W) 294 295 val debug_runahead_checkpoint_id = UInt(64.W) 296 297 // def isUnconditional() = RedirectLevel.isUnconditional(level) 298 def flushItself() = RedirectLevel.flushItself(level) 299 // def isException() = RedirectLevel.isException(level) 300} 301 302class Dp1ToDp2IO(implicit p: Parameters) extends XSBundle { 303 val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 304 val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp)) 305 val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp)) 306} 307 308class ResetPregStateReq(implicit p: Parameters) extends XSBundle { 309 // NOTE: set isInt and isFp both to 'false' when invalid 310 val isInt = Bool() 311 val isFp = Bool() 312 val preg = UInt(PhyRegIdxWidth.W) 313} 314 315class DebugBundle(implicit p: Parameters) extends XSBundle { 316 val isMMIO = Bool() 317 val isPerfCnt = Bool() 318 val paddr = UInt(PAddrBits.W) 319 val vaddr = UInt(VAddrBits.W) 320} 321 322class ExuInput(implicit p: Parameters) extends XSBundleWithMicroOp { 323 val src = Vec(3, UInt(XLEN.W)) 324} 325 326class ExuOutput(implicit p: Parameters) extends XSBundleWithMicroOp { 327 val data = UInt(XLEN.W) 328 val fflags = UInt(5.W) 329 val redirectValid = Bool() 330 val redirect = new Redirect 331 val debug = new DebugBundle 332} 333 334class ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 335 val mtip = Input(Bool()) 336 val msip = Input(Bool()) 337 val meip = Input(Bool()) 338 val seip = Input(Bool()) 339 val debug = Input(Bool()) 340} 341 342class CSRSpecialIO(implicit p: Parameters) extends XSBundle { 343 val exception = Flipped(ValidIO(new MicroOp)) 344 val isInterrupt = Input(Bool()) 345 val memExceptionVAddr = Input(UInt(VAddrBits.W)) 346 val trapTarget = Output(UInt(VAddrBits.W)) 347 val externalInterrupt = new ExternalInterruptIO 348 val interrupt = Output(Bool()) 349} 350 351class ExceptionInfo(implicit p: Parameters) extends XSBundleWithMicroOp { 352 val isInterrupt = Bool() 353} 354 355class RobCommitInfo(implicit p: Parameters) extends XSBundle { 356 val ldest = UInt(5.W) 357 val rfWen = Bool() 358 val fpWen = Bool() 359 val wflags = Bool() 360 val commitType = CommitType() 361 val pdest = UInt(PhyRegIdxWidth.W) 362 val old_pdest = UInt(PhyRegIdxWidth.W) 363 val ftqIdx = new FtqPtr 364 val ftqOffset = UInt(log2Up(PredictWidth).W) 365 366 // these should be optimized for synthesis verilog 367 val pc = UInt(VAddrBits.W) 368} 369 370class RobCommitIO(implicit p: Parameters) extends XSBundle { 371 val isWalk = Output(Bool()) 372 val valid = Vec(CommitWidth, Output(Bool())) 373 // valid bits optimized for walk 374 val walkValid = Vec(CommitWidth, Output(Bool())) 375 val info = Vec(CommitWidth, Output(new RobCommitInfo)) 376 377 def hasWalkInstr = isWalk && valid.asUInt.orR 378 379 def hasCommitInstr = !isWalk && valid.asUInt.orR 380} 381 382class RSFeedback(implicit p: Parameters) extends XSBundle { 383 val rsIdx = UInt(log2Up(IssQueSize).W) 384 val hit = Bool() 385 val flushState = Bool() 386 val sourceType = RSFeedbackType() 387 val dataInvalidSqIdx = new SqPtr 388} 389 390class MemRSFeedbackIO(implicit p: Parameters) extends XSBundle { 391 // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO 392 // for instance: MemRSFeedbackIO()(updateP) 393 val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss 394 val feedbackFast = ValidIO(new RSFeedback()) // bank conflict 395 val rsIdx = Input(UInt(log2Up(IssQueSize).W)) 396 val isFirstIssue = Input(Bool()) 397} 398 399class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle { 400 // to backend end 401 val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 402 val fromFtq = new FtqToCtrlIO 403 // from backend 404 val toFtq = Flipped(new CtrlToFtqIO) 405} 406 407class SatpStruct extends Bundle { 408 val mode = UInt(4.W) 409 val asid = UInt(16.W) 410 val ppn = UInt(44.W) 411} 412 413class TlbCsrBundle(implicit p: Parameters) extends XSBundle { 414 val satp = new Bundle { 415 val changed = Bool() 416 val mode = UInt(4.W) // TODO: may change number to parameter 417 val asid = UInt(16.W) 418 val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen 419 420 def apply(satp_value: UInt): Unit = { 421 require(satp_value.getWidth == XLEN) 422 val sa = satp_value.asTypeOf(new SatpStruct) 423 mode := sa.mode 424 asid := sa.asid 425 ppn := sa.ppn 426 changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush 427 } 428 } 429 val priv = new Bundle { 430 val mxr = Bool() 431 val sum = Bool() 432 val imode = UInt(2.W) 433 val dmode = UInt(2.W) 434 } 435 436 override def toPrintable: Printable = { 437 p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 438 p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 439 } 440} 441 442class SfenceBundle(implicit p: Parameters) extends XSBundle { 443 val valid = Bool() 444 val bits = new Bundle { 445 val rs1 = Bool() 446 val rs2 = Bool() 447 val addr = UInt(VAddrBits.W) 448 val asid = UInt(AsidLength.W) 449 } 450 451 override def toPrintable: Printable = { 452 p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}" 453 } 454} 455 456// Bundle for load violation predictor updating 457class MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 458 val valid = Bool() 459 460 // wait table update 461 val waddr = UInt(MemPredPCWidth.W) 462 val wdata = Bool() // true.B by default 463 464 // store set update 465 // by default, ldpc/stpc should be xor folded 466 val ldpc = UInt(MemPredPCWidth.W) 467 val stpc = UInt(MemPredPCWidth.W) 468} 469 470class CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 471 // Prefetcher 472 val l1I_pf_enable = Output(Bool()) 473 val l2_pf_enable = Output(Bool()) 474 // ICache 475 val icache_parity_enable = Output(Bool()) 476 // Labeled XiangShan 477 val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter 478 // Load violation predictor 479 val lvpred_disable = Output(Bool()) 480 val no_spec_load = Output(Bool()) 481 val storeset_wait_store = Output(Bool()) 482 val storeset_no_fast_wakeup = Output(Bool()) 483 val lvpred_timeout = Output(UInt(5.W)) 484 // Branch predictor 485 val bp_ctrl = Output(new BPUCtrl) 486 // Memory Block 487 val sbuffer_threshold = Output(UInt(4.W)) 488 val ldld_vio_check_enable = Output(Bool()) 489 val soft_prefetch_enable = Output(Bool()) 490 val cache_error_enable = Output(Bool()) 491 // Rename 492 val move_elim_enable = Output(Bool()) 493 // Decode 494 val svinval_enable = Output(Bool()) 495 496 // distribute csr write signal 497 val distribute_csr = new DistributedCSRIO() 498 499 val singlestep = Output(Bool()) 500 val frontend_trigger = new FrontendTdataDistributeIO() 501 val mem_trigger = new MemTdataDistributeIO() 502 val trigger_enable = Output(Vec(10, Bool())) 503} 504 505class DistributedCSRIO(implicit p: Parameters) extends XSBundle { 506 // CSR has been written by csr inst, copies of csr should be updated 507 val w = ValidIO(new Bundle { 508 val addr = Output(UInt(12.W)) 509 val data = Output(UInt(XLEN.W)) 510 }) 511} 512 513class DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle { 514 // Request csr to be updated 515 // 516 // Note that this request will ONLY update CSR Module it self, 517 // copies of csr will NOT be updated, use it with care! 518 // 519 // For each cycle, no more than 1 DistributedCSRUpdateReq is valid 520 val w = ValidIO(new Bundle { 521 val addr = Output(UInt(12.W)) 522 val data = Output(UInt(XLEN.W)) 523 }) 524 def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = { 525 when(valid){ 526 w.bits.addr := addr 527 w.bits.data := data 528 } 529 println("Distributed CSR update req registered for " + src_description) 530 } 531} 532 533class L1CacheErrorInfo(implicit p: Parameters) extends XSBundle { 534 // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR 535 val source = Output(new Bundle() { 536 val tag = Bool() // l1 tag array 537 val data = Bool() // l1 data array 538 val l2 = Bool() 539 }) 540 val opType = Output(new Bundle() { 541 val fetch = Bool() 542 val load = Bool() 543 val store = Bool() 544 val probe = Bool() 545 val release = Bool() 546 val atom = Bool() 547 }) 548 val paddr = Output(UInt(PAddrBits.W)) 549 550 // report error and paddr to beu 551 // bus error unit will receive error info iff ecc_error.valid 552 val report_to_beu = Output(Bool()) 553 554 // there is an valid error 555 // l1 cache error will always be report to CACHE_ERROR csr 556 val valid = Output(Bool()) 557 558 def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = { 559 val beu_info = Wire(new L1BusErrorUnitInfo) 560 beu_info.ecc_error.valid := report_to_beu 561 beu_info.ecc_error.bits := paddr 562 beu_info 563 } 564} 565 566/* TODO how to trigger on next inst? 5671. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep 5682. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set 569xret csr to pc + 4/ + 2 5702.5 The problem is to let it commit. This is the real TODO 5713. If it is load and hit before just treat it as regular load exception 572 */ 573 574// This bundle carries trigger hit info along the pipeline 575// Now there are 10 triggers divided into 5 groups of 2 576// These groups are 577// (if if) (store store) (load loid) (if store) (if load) 578 579// Triggers in the same group can chain, meaning that they only 580// fire is both triggers in the group matches (the triggerHitVec bit is asserted) 581// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i) 582// Timing of 0 means trap at current inst, 1 means trap at next inst 583// Chaining and timing and the validness of a trigger is controlled by csr 584// In two chained triggers, if they have different timing, both won't fire 585//class TriggerCf (implicit p: Parameters) extends XSBundle { 586// val triggerHitVec = Vec(10, Bool()) 587// val triggerTiming = Vec(10, Bool()) 588// val triggerChainVec = Vec(5, Bool()) 589//} 590 591class TriggerCf(implicit p: Parameters) extends XSBundle { 592 // frontend 593 val frontendHit = Vec(4, Bool()) 594// val frontendTiming = Vec(4, Bool()) 595// val frontendHitNext = Vec(4, Bool()) 596 597// val frontendException = Bool() 598 // backend 599 val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4) 600 val backendHit = Vec(6, Bool()) 601// val backendTiming = Vec(6, Bool()) // trigger enable fro chain 602 603 // Two situations not allowed: 604 // 1. load data comparison 605 // 2. store chaining with store 606 def getHitFrontend = frontendHit.reduce(_ || _) 607 def getHitBackend = backendHit.reduce(_ || _) 608 def hit = getHitFrontend || getHitBackend 609 def clear(): Unit = { 610 frontendHit.foreach(_ := false.B) 611 backendEn.foreach(_ := false.B) 612 backendHit.foreach(_ := false.B) 613 } 614} 615 616// these 3 bundles help distribute trigger control signals from CSR 617// to Frontend, Load and Store. 618class FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle { 619 val t = Valid(new Bundle { 620 val addr = Output(UInt(2.W)) 621 val tdata = new MatchTriggerIO 622 }) 623 } 624 625class MemTdataDistributeIO(implicit p: Parameters) extends XSBundle { 626 val t = Valid(new Bundle { 627 val addr = Output(UInt(3.W)) 628 val tdata = new MatchTriggerIO 629 }) 630} 631 632class MatchTriggerIO(implicit p: Parameters) extends XSBundle { 633 val matchType = Output(UInt(2.W)) 634 val select = Output(Bool()) 635 val timing = Output(Bool()) 636 val action = Output(Bool()) 637 val chain = Output(Bool()) 638 val tdata2 = Output(UInt(64.W)) 639} 640