xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision fe3a74fc76fbf5248bb05e688abd407a715e1e65)
11e3fad10SLinJiaweipackage xiangshan
21e3fad10SLinJiawei
31e3fad10SLinJiaweiimport chisel3._
45844fcf0SLinJiaweiimport chisel3.util._
5c2a8ae00SYikeZhouimport xiangshan.backend.SelImm
6bfa4b2b4SLinJiaweiimport xiangshan.backend.brq.BrqPtr
7d150fc4eSlinjiaweiimport xiangshan.backend.fu.fpu.Fflags
80851457fSLinJiaweiimport xiangshan.backend.rename.FreeListPtr
942707b3bSYinan Xuimport xiangshan.backend.roq.RoqPtr
10be25371aSYikeZhouimport xiangshan.backend.decode.XDecode
115c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr}
1266b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo
13f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter
14f3501106SGouLingruiimport xiangshan.frontend.HasTageParameter
15ceaf5e1fSLingrui98import xiangshan.frontend.HasIFUConst
16f634c609SLingrui98import xiangshan.frontend.GlobalHistory
17ceaf5e1fSLingrui98import utils._
182fbdb79bSLingrui98import scala.math.max
191e3fad10SLinJiawei
205844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache
211e3fad10SLinJiaweiclass FetchPacket extends XSBundle {
2228958354Szhanglinjuan  val instrs = Vec(PredictWidth, UInt(32.W))
2328958354Szhanglinjuan  val mask = UInt(PredictWidth.W)
2442696a74Szhanglinjuan  // val pc = UInt(VAddrBits.W)
2542696a74Szhanglinjuan  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
2628958354Szhanglinjuan  val pnpc = Vec(PredictWidth, UInt(VAddrBits.W))
27a428082bSLinJiawei  val brInfo = Vec(PredictWidth, new BranchInfo)
28a428082bSLinJiawei  val pd = Vec(PredictWidth, new PreDecodeInfo)
295a67e465Szhanglinjuan  val ipf = Bool()
307e6acce3Sjinyue110  val acf = Bool()
315a67e465Szhanglinjuan  val crossPageIPFFix = Bool()
320f94ebecSzoujr  val predTaken = Bool()
331e3fad10SLinJiawei}
341e3fad10SLinJiawei
35627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
363803411bSzhanglinjuan  val valid = Bool()
3735fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
38627c0a19Szhanglinjuan  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
393803411bSzhanglinjuan}
403803411bSzhanglinjuan
41627c0a19Szhanglinjuanobject ValidUndirectioned {
42627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
43627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
443803411bSzhanglinjuan  }
453803411bSzhanglinjuan}
463803411bSzhanglinjuan
47534e17a9SLingrui98class SCMeta(val useSC: Boolean) extends XSBundle with HasTageParameter {
482fbdb79bSLingrui98  def maxVal = 8 * ((1 << TageCtrBits) - 1) + SCTableInfo.map{case (_,cb,_) => (1 << cb) - 1}.reduce(_+_)
492fbdb79bSLingrui98  def minVal = -(8 * (1 << TageCtrBits) + SCTableInfo.map{case (_,cb,_) => 1 << cb}.reduce(_+_))
502fbdb79bSLingrui98  def sumCtrBits = max(log2Ceil(-minVal), log2Ceil(maxVal+1)) + 1
512fbdb79bSLingrui98  val tageTaken = if (useSC) Bool() else UInt(0.W)
522fbdb79bSLingrui98  val scUsed    = if (useSC) Bool() else UInt(0.W)
532fbdb79bSLingrui98  val scPred    = if (useSC) Bool() else UInt(0.W)
542fbdb79bSLingrui98  // Suppose ctrbits of all tables are identical
552fbdb79bSLingrui98  val ctrs      = if (useSC) Vec(SCNTables, SInt(SCCtrBits.W)) else Vec(SCNTables, SInt(0.W))
566b98bdcbSLingrui98  val sumAbs    = if (useSC) UInt(sumCtrBits.W) else UInt(0.W)
572fbdb79bSLingrui98}
582fbdb79bSLingrui98
59f3501106SGouLingruiclass TageMeta extends XSBundle with HasTageParameter {
60627c0a19Szhanglinjuan  val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
611e7d14a8Szhanglinjuan  val altDiffers = Bool()
621e7d14a8Szhanglinjuan  val providerU = UInt(2.W)
631e7d14a8Szhanglinjuan  val providerCtr = UInt(3.W)
64627c0a19Szhanglinjuan  val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
656b98bdcbSLingrui98  val taken = Bool()
662fbdb79bSLingrui98  val scMeta = new SCMeta(EnableSC)
671e7d14a8Szhanglinjuan}
681e7d14a8Szhanglinjuan
69ceaf5e1fSLingrui98class BranchPrediction extends XSBundle with HasIFUConst {
70ceaf5e1fSLingrui98  // val redirect = Bool()
71ceaf5e1fSLingrui98  val takens = UInt(PredictWidth.W)
72ceaf5e1fSLingrui98  // val jmpIdx = UInt(log2Up(PredictWidth).W)
73ceaf5e1fSLingrui98  val brMask = UInt(PredictWidth.W)
74ceaf5e1fSLingrui98  val jalMask = UInt(PredictWidth.W)
75ceaf5e1fSLingrui98  val targets = Vec(PredictWidth, UInt(VAddrBits.W))
76ceaf5e1fSLingrui98
77ceaf5e1fSLingrui98  // marks the last 2 bytes of this fetch packet
78ceaf5e1fSLingrui98  // val endsAtTheEndOfFirstBank = Bool()
79ceaf5e1fSLingrui98  // val endsAtTheEndOfLastBank = Bool()
80ceaf5e1fSLingrui98
81ceaf5e1fSLingrui98  // half RVI could only start at the end of a bank
82ceaf5e1fSLingrui98  val firstBankHasHalfRVI = Bool()
83ceaf5e1fSLingrui98  val lastBankHasHalfRVI = Bool()
84ceaf5e1fSLingrui98
854b17b4eeSLingrui98  def lastHalfRVIMask = Mux(firstBankHasHalfRVI, UIntToOH((bankWidth-1).U),
864b17b4eeSLingrui98                          Mux(lastBankHasHalfRVI, UIntToOH((PredictWidth-1).U),
87ceaf5e1fSLingrui98                            0.U(PredictWidth.W)
88ceaf5e1fSLingrui98                          )
89ceaf5e1fSLingrui98                        )
90ceaf5e1fSLingrui98
91ceaf5e1fSLingrui98  def lastHalfRVIClearMask = ~lastHalfRVIMask
92ceaf5e1fSLingrui98  // is taken from half RVI
9344ff7871SLingrui98  def lastHalfRVITaken = ParallelORR(takens & lastHalfRVIMask)
94ceaf5e1fSLingrui98
95ceaf5e1fSLingrui98  def lastHalfRVIIdx = Mux(firstBankHasHalfRVI, (bankWidth-1).U, (PredictWidth-1).U)
96ceaf5e1fSLingrui98  // should not be used if not lastHalfRVITaken
97ceaf5e1fSLingrui98  def lastHalfRVITarget = Mux(firstBankHasHalfRVI, targets(bankWidth-1), targets(PredictWidth-1))
98ceaf5e1fSLingrui98
99ceaf5e1fSLingrui98  def realTakens  = takens  & lastHalfRVIClearMask
100ceaf5e1fSLingrui98  def realBrMask  = brMask  & lastHalfRVIClearMask
101ceaf5e1fSLingrui98  def realJalMask = jalMask & lastHalfRVIClearMask
102ceaf5e1fSLingrui98
103ceaf5e1fSLingrui98  def brNotTakens = ~realTakens & realBrMask
104ceaf5e1fSLingrui98  def sawNotTakenBr = VecInit((0 until PredictWidth).map(i =>
10544ff7871SLingrui98                       (if (i == 0) false.B else ParallelORR(brNotTakens(i-1,0)))))
106580c7a5eSLingrui98  // def hasNotTakenBrs = (brNotTakens & LowerMaskFromLowest(realTakens)).orR
10744ff7871SLingrui98  def unmaskedJmpIdx = ParallelPriorityEncoder(takens)
10844ff7871SLingrui98  def saveHalfRVI = (firstBankHasHalfRVI && (unmaskedJmpIdx === (bankWidth-1).U || !(ParallelORR(takens)))) ||
109838068f7SLingrui98  (lastBankHasHalfRVI  &&  unmaskedJmpIdx === (PredictWidth-1).U)
110ceaf5e1fSLingrui98  // could get PredictWidth-1 when only the first bank is valid
11144ff7871SLingrui98  def jmpIdx = ParallelPriorityEncoder(realTakens)
112ceaf5e1fSLingrui98  // only used when taken
11344ff7871SLingrui98  def target = ParallelPriorityMux(realTakens, targets)
11444ff7871SLingrui98  def taken = ParallelORR(realTakens)
11544ff7871SLingrui98  def takenOnBr = taken && ParallelPriorityMux(realTakens, realBrMask.asBools)
11644ff7871SLingrui98  def hasNotTakenBrs = Mux(taken, ParallelPriorityMux(realTakens, sawNotTakenBr), ParallelORR(brNotTakens))
1176fb61704Szhanglinjuan}
1186fb61704Szhanglinjuan
119f00290d7SLingrui98class BranchInfo extends XSBundle with HasBPUParameter {
12053bf6077SLingrui98  val ubtbWriteWay = UInt(log2Up(UBtbWays).W)
121e3aeae54SLingrui98  val ubtbHits = Bool()
12253bf6077SLingrui98  val btbWriteWay = UInt(log2Up(BtbWays).W)
123035fad39SGouLingrui  val btbHitJal = Bool()
124e3aeae54SLingrui98  val bimCtr = UInt(2.W)
12545e96f83Szhanglinjuan  val tageMeta = new TageMeta
12645e96f83Szhanglinjuan  val rasSp = UInt(log2Up(RasSize).W)
12745e96f83Szhanglinjuan  val rasTopCtr = UInt(8.W)
128ed809609Sjinyue110  val rasToqAddr = UInt(VAddrBits.W)
129c5ed092cSLingrui98  val fetchIdx = UInt(log2Up(PredictWidth).W)
1307d053a60Szhanglinjuan  val specCnt = UInt(10.W)
131f634c609SLingrui98  // for global history
132f634c609SLingrui98  val hist = new GlobalHistory
133f634c609SLingrui98  val predHist = new GlobalHistory
1344a5c1190SGouLingrui  val sawNotTakenBranch = Bool()
135f226232fSzhanglinjuan
1363a48285bSGouLingrui  val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
1373a48285bSGouLingrui  val debug_btb_cycle  = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
1383a48285bSGouLingrui  val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
139f226232fSzhanglinjuan
140f634c609SLingrui98  // def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = {
141f634c609SLingrui98  //   this.histPtr := histPtr
142f634c609SLingrui98  //   this.tageMeta := tageMeta
143f634c609SLingrui98  //   this.rasSp := rasSp
144f634c609SLingrui98  //   this.rasTopCtr := rasTopCtr
145f634c609SLingrui98  //   this.asUInt
146f634c609SLingrui98  // }
147f226232fSzhanglinjuan  def size = 0.U.asTypeOf(this).getWidth
148f226232fSzhanglinjuan  def fromUInt(x: UInt) = x.asTypeOf(this)
14966b0d0c3Szhanglinjuan}
15066b0d0c3Szhanglinjuan
15104fb04efSLingrui98class Predecode extends XSBundle with HasIFUConst {
152ceaf5e1fSLingrui98  val hasLastHalfRVI = Bool()
1532f931f37Szhanglinjuan  val mask = UInt((FetchWidth*2).W)
15457c3c8deSLingrui98  val lastHalf = UInt(nBanksInPacket.W)
15566b0d0c3Szhanglinjuan  val pd = Vec(FetchWidth*2, (new PreDecodeInfo))
1565844fcf0SLinJiawei}
1575844fcf0SLinJiawei
158b2e6921eSLinJiaweiclass BranchUpdateInfo extends XSBundle {
159f226232fSzhanglinjuan  // from backend
16069cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
161608ba82cSzhanglinjuan  val pnpc = UInt(VAddrBits.W)
162b2e6921eSLinJiawei  val fetchIdx = UInt(log2Up(FetchWidth*2).W)
163f226232fSzhanglinjuan  // frontend -> backend -> frontend
164f226232fSzhanglinjuan  val pd = new PreDecodeInfo
165f226232fSzhanglinjuan  val brInfo = new BranchInfo
166*fe3a74fcSYinan Xu
167*fe3a74fcSYinan Xu  // need pipeline update
168*fe3a74fcSYinan Xu  val target = UInt(VAddrBits.W)
169*fe3a74fcSYinan Xu  val taken = Bool()
170*fe3a74fcSYinan Xu  val isMisPred = Bool()
171*fe3a74fcSYinan Xu  val brTag = new BrqPtr
172b2e6921eSLinJiawei}
173b2e6921eSLinJiawei
174b2e6921eSLinJiawei// Dequeue DecodeWidth insts from Ibuffer
175b2e6921eSLinJiaweiclass CtrlFlow extends XSBundle {
176b2e6921eSLinJiawei  val instr = UInt(32.W)
177b2e6921eSLinJiawei  val pc = UInt(VAddrBits.W)
178b2e6921eSLinJiawei  val exceptionVec = Vec(16, Bool())
179b2e6921eSLinJiawei  val intrVec = Vec(12, Bool())
180b2e6921eSLinJiawei  val brUpdate = new BranchUpdateInfo
181c84054caSLinJiawei  val crossPageIPFFix = Bool()
1825844fcf0SLinJiawei}
1835844fcf0SLinJiawei
1845844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
1855844fcf0SLinJiaweiclass CtrlSignals extends XSBundle {
1869a2e6b8aSLinJiawei  val src1Type, src2Type, src3Type = SrcType()
1879a2e6b8aSLinJiawei  val lsrc1, lsrc2, lsrc3 = UInt(5.W)
1889a2e6b8aSLinJiawei  val ldest = UInt(5.W)
1899a2e6b8aSLinJiawei  val fuType = FuType()
1909a2e6b8aSLinJiawei  val fuOpType = FuOpType()
1919a2e6b8aSLinJiawei  val rfWen = Bool()
1929a2e6b8aSLinJiawei  val fpWen = Bool()
1939a2e6b8aSLinJiawei  val isXSTrap = Bool()
1942d366136SLinJiawei  val noSpecExec = Bool()  // wait forward
1952d366136SLinJiawei  val blockBackward  = Bool()  // block backward
19645a56a29SZhangZifei  val flushPipe  = Bool()  // This inst will flush all the pipe when commit, like exception but can commit
197db34a189SLinJiawei  val isRVF = Bool()
198c2a8ae00SYikeZhou  val selImm = SelImm()
199db34a189SLinJiawei  val imm = UInt(XLEN.W)
200a3edac52SYinan Xu  val commitType = CommitType()
201be25371aSYikeZhou
202be25371aSYikeZhou  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]) = {
203be25371aSYikeZhou    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table)
204be25371aSYikeZhou    val signals =
2054d24c305SYikeZhou      Seq(src1Type, src2Type, src3Type, fuType, fuOpType, rfWen, fpWen,
206c2a8ae00SYikeZhou          isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm)
207be25371aSYikeZhou    signals zip decoder map { case(s, d) => s := d }
2084d24c305SYikeZhou    commitType := DontCare
209be25371aSYikeZhou    this
210be25371aSYikeZhou  }
2115844fcf0SLinJiawei}
2125844fcf0SLinJiawei
2135844fcf0SLinJiaweiclass CfCtrl extends XSBundle {
2145844fcf0SLinJiawei  val cf = new CtrlFlow
2155844fcf0SLinJiawei  val ctrl = new CtrlSignals
216bfa4b2b4SLinJiawei  val brTag = new BrqPtr
2175844fcf0SLinJiawei}
2185844fcf0SLinJiawei
21924726fbfSWilliam Wang// Load / Store Index
22024726fbfSWilliam Wang//
22124726fbfSWilliam Wang// while separated lq and sq is used, lsIdx consists of lqIdx, sqIdx and l/s type.
22224726fbfSWilliam Wangtrait HasLSIdx { this: HasXSParameter =>
22348d1472eSWilliam Wang  // Separate LSQ
224915c0dd4SYinan Xu  val lqIdx = new LqPtr
2255c1ae31bSYinan Xu  val sqIdx = new SqPtr
226b2e6921eSLinJiawei}
227054d37b6SLinJiawei
22824726fbfSWilliam Wangclass LSIdx extends XSBundle with HasLSIdx {}
2295844fcf0SLinJiawei
230b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
2313dbae6f8SYinan Xuclass MicroOp extends CfCtrl with HasLSIdx {
2329a2e6b8aSLinJiawei  val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W)
2339a2e6b8aSLinJiawei  val src1State, src2State, src3State = SrcState()
23442707b3bSYinan Xu  val roqIdx = new RoqPtr
235355fcd20SAllen  val diffTestDebugLrScValid = Bool()
2365844fcf0SLinJiawei}
2375844fcf0SLinJiawei
2384d8e0a7fSYinan Xuclass Redirect extends XSBundle {
23942707b3bSYinan Xu  val roqIdx = new RoqPtr
24037fcf7fbSLinJiawei  val isException = Bool()
241b2e6921eSLinJiawei  val isMisPred = Bool()
242b2e6921eSLinJiawei  val isReplay = Bool()
24345a56a29SZhangZifei  val isFlushPipe = Bool()
244b2e6921eSLinJiawei  val pc = UInt(VAddrBits.W)
245b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
246b2e6921eSLinJiawei  val brTag = new BrqPtr
247a25b1bceSLinJiawei}
248a25b1bceSLinJiawei
2495844fcf0SLinJiaweiclass Dp1ToDp2IO extends XSBundle {
2505c7b21d5SYinan Xu  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
2515c7b21d5SYinan Xu  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
2525c7b21d5SYinan Xu  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
2535844fcf0SLinJiawei}
2545844fcf0SLinJiawei
25560deaca2SLinJiaweiclass ReplayPregReq extends XSBundle {
25660deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
25760deaca2SLinJiawei  val isInt = Bool()
25860deaca2SLinJiawei  val isFp = Bool()
25960deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
26060deaca2SLinJiawei}
26160deaca2SLinJiawei
262e402d94eSWilliam Wangclass DebugBundle extends XSBundle{
26372235fa4SWilliam Wang  val isMMIO = Bool()
264e402d94eSWilliam Wang}
2655844fcf0SLinJiawei
2665844fcf0SLinJiaweiclass ExuInput extends XSBundle {
2675844fcf0SLinJiawei  val uop = new MicroOp
2689684eb4fSLinJiawei  val src1, src2, src3 = UInt((XLEN+1).W)
2695844fcf0SLinJiawei}
2705844fcf0SLinJiawei
2715844fcf0SLinJiaweiclass ExuOutput extends XSBundle {
2725844fcf0SLinJiawei  val uop = new MicroOp
2739684eb4fSLinJiawei  val data = UInt((XLEN+1).W)
274d150fc4eSlinjiawei  val fflags  = new Fflags
27597cfa7f8SLinJiawei  val redirectValid = Bool()
27697cfa7f8SLinJiawei  val redirect = new Redirect
277b2e6921eSLinJiawei  val brUpdate = new BranchUpdateInfo
278e402d94eSWilliam Wang  val debug = new DebugBundle
2795844fcf0SLinJiawei}
2805844fcf0SLinJiawei
28135bfeecbSYinan Xuclass ExternalInterruptIO extends XSBundle {
28235bfeecbSYinan Xu  val mtip = Input(Bool())
28335bfeecbSYinan Xu  val msip = Input(Bool())
28435bfeecbSYinan Xu  val meip = Input(Bool())
28535bfeecbSYinan Xu}
28635bfeecbSYinan Xu
28735bfeecbSYinan Xuclass CSRSpecialIO extends XSBundle {
28835bfeecbSYinan Xu  val exception = Flipped(ValidIO(new MicroOp))
2893fa7b737SYinan Xu  val isInterrupt = Input(Bool())
29035bfeecbSYinan Xu  val memExceptionVAddr = Input(UInt(VAddrBits.W))
29135bfeecbSYinan Xu  val trapTarget = Output(UInt(VAddrBits.W))
29235bfeecbSYinan Xu  val externalInterrupt = new ExternalInterruptIO
29335bfeecbSYinan Xu  val interrupt = Output(Bool())
29435bfeecbSYinan Xu}
29535bfeecbSYinan Xu
2969684eb4fSLinJiawei//class ExuIO extends XSBundle {
2979684eb4fSLinJiawei//  val in = Flipped(DecoupledIO(new ExuInput))
2989684eb4fSLinJiawei//  val redirect = Flipped(ValidIO(new Redirect))
2999684eb4fSLinJiawei//  val out = DecoupledIO(new ExuOutput)
3009684eb4fSLinJiawei//  // for csr
3019684eb4fSLinJiawei//  val csrOnly = new CSRSpecialIO
3029684eb4fSLinJiawei//  val mcommit = Input(UInt(3.W))
3039684eb4fSLinJiawei//}
3045844fcf0SLinJiawei
30521e7a6c5SYinan Xuclass RoqCommitIO extends XSBundle {
30621e7a6c5SYinan Xu  val isWalk = Output(Bool())
30721e7a6c5SYinan Xu  val valid = Vec(CommitWidth, Output(Bool()))
30821e7a6c5SYinan Xu  val uop = Vec(CommitWidth, Output(new MicroOp))
30921e7a6c5SYinan Xu
31021e7a6c5SYinan Xu  def hasWalkInstr = isWalk && valid.asUInt.orR
31121e7a6c5SYinan Xu  def hasCommitInstr = !isWalk && valid.asUInt.orR
3125844fcf0SLinJiawei}
3135844fcf0SLinJiawei
31442707b3bSYinan Xuclass TlbFeedback extends XSBundle {
31542707b3bSYinan Xu  val roqIdx = new RoqPtr
316037a131fSWilliam Wang  val hit = Bool()
317037a131fSWilliam Wang}
318037a131fSWilliam Wang
3195844fcf0SLinJiaweiclass FrontendToBackendIO extends XSBundle {
3205844fcf0SLinJiawei  // to backend end
3215844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
3225844fcf0SLinJiawei  // from backend
3238b922c39SYinan Xu  val redirect = Flipped(ValidIO(UInt(VAddrBits.W)))
324b2e6921eSLinJiawei  val outOfOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
325b2e6921eSLinJiawei  val inOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
3261e3fad10SLinJiawei}
327fcff7e94SZhangZifei
328fcff7e94SZhangZifeiclass TlbCsrBundle extends XSBundle {
329fcff7e94SZhangZifei  val satp = new Bundle {
330fcff7e94SZhangZifei    val mode = UInt(4.W) // TODO: may change number to parameter
331fcff7e94SZhangZifei    val asid = UInt(16.W)
332fcff7e94SZhangZifei    val ppn  = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
333fcff7e94SZhangZifei  }
334fcff7e94SZhangZifei  val priv = new Bundle {
335fcff7e94SZhangZifei    val mxr = Bool()
336fcff7e94SZhangZifei    val sum = Bool()
337fcff7e94SZhangZifei    val imode = UInt(2.W)
338fcff7e94SZhangZifei    val dmode = UInt(2.W)
339fcff7e94SZhangZifei  }
3408fc4e859SZhangZifei
3418fc4e859SZhangZifei  override def toPrintable: Printable = {
3428fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
3438fc4e859SZhangZifei    p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
3448fc4e859SZhangZifei  }
345fcff7e94SZhangZifei}
346fcff7e94SZhangZifei
347fcff7e94SZhangZifeiclass SfenceBundle extends XSBundle {
348fcff7e94SZhangZifei  val valid = Bool()
349fcff7e94SZhangZifei  val bits = new Bundle {
350fcff7e94SZhangZifei    val rs1 = Bool()
351fcff7e94SZhangZifei    val rs2 = Bool()
352fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
353fcff7e94SZhangZifei  }
3548fc4e859SZhangZifei
3558fc4e859SZhangZifei  override def toPrintable: Printable = {
3568fc4e859SZhangZifei    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
3578fc4e859SZhangZifei  }
358fcff7e94SZhangZifei}
359