xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision fe211d16d9eceed319fc05496233f17412b9f551)
11e3fad10SLinJiaweipackage xiangshan
21e3fad10SLinJiawei
31e3fad10SLinJiaweiimport chisel3._
45844fcf0SLinJiaweiimport chisel3.util._
5c2a8ae00SYikeZhouimport xiangshan.backend.SelImm
642707b3bSYinan Xuimport xiangshan.backend.roq.RoqPtr
7b0ae3ac4SLinJiaweiimport xiangshan.backend.decode.{ImmUnion, XDecode}
85c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr}
966b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo
10f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter
11f3501106SGouLingruiimport xiangshan.frontend.HasTageParameter
12ceaf5e1fSLingrui98import xiangshan.frontend.HasIFUConst
13f634c609SLingrui98import xiangshan.frontend.GlobalHistory
147447ee13SLingrui98import xiangshan.frontend.RASEntry
15ceaf5e1fSLingrui98import utils._
16b0ae3ac4SLinJiawei
172fbdb79bSLingrui98import scala.math.max
18d471c5aeSLingrui98import Chisel.experimental.chiselName
19884dbb3bSLinJiaweiimport xiangshan.backend.ftq.FtqPtr
201e3fad10SLinJiawei
215844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache
221e3fad10SLinJiaweiclass FetchPacket extends XSBundle {
2328958354Szhanglinjuan  val instrs = Vec(PredictWidth, UInt(32.W))
2428958354Szhanglinjuan  val mask = UInt(PredictWidth.W)
254ec80874Szoujr  val pdmask = UInt(PredictWidth.W)
2642696a74Szhanglinjuan  // val pc = UInt(VAddrBits.W)
2742696a74Szhanglinjuan  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
2828958354Szhanglinjuan  val pnpc = Vec(PredictWidth, UInt(VAddrBits.W))
29a428082bSLinJiawei  val pd = Vec(PredictWidth, new PreDecodeInfo)
305a67e465Szhanglinjuan  val ipf = Bool()
317e6acce3Sjinyue110  val acf = Bool()
325a67e465Szhanglinjuan  val crossPageIPFFix = Bool()
33744c623cSLingrui98  val pred_taken = UInt(PredictWidth.W)
34744c623cSLingrui98  val ftqPtr = new FtqPtr
351e3fad10SLinJiawei}
361e3fad10SLinJiawei
37627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
383803411bSzhanglinjuan  val valid = Bool()
3935fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
40*fe211d16SLinJiawei
41627c0a19Szhanglinjuan  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
423803411bSzhanglinjuan}
433803411bSzhanglinjuan
44627c0a19Szhanglinjuanobject ValidUndirectioned {
45627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
46627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
473803411bSzhanglinjuan  }
483803411bSzhanglinjuan}
493803411bSzhanglinjuan
50534e17a9SLingrui98class SCMeta(val useSC: Boolean) extends XSBundle with HasTageParameter {
512fbdb79bSLingrui98  def maxVal = 8 * ((1 << TageCtrBits) - 1) + SCTableInfo.map { case (_, cb, _) => (1 << cb) - 1 }.reduce(_ + _)
52*fe211d16SLinJiawei
532fbdb79bSLingrui98  def minVal = -(8 * (1 << TageCtrBits) + SCTableInfo.map { case (_, cb, _) => 1 << cb }.reduce(_ + _))
54*fe211d16SLinJiawei
552fbdb79bSLingrui98  def sumCtrBits = max(log2Ceil(-minVal), log2Ceil(maxVal + 1)) + 1
56*fe211d16SLinJiawei
572fbdb79bSLingrui98  val tageTaken = if (useSC) Bool() else UInt(0.W)
582fbdb79bSLingrui98  val scUsed = if (useSC) Bool() else UInt(0.W)
592fbdb79bSLingrui98  val scPred = if (useSC) Bool() else UInt(0.W)
602fbdb79bSLingrui98  // Suppose ctrbits of all tables are identical
612fbdb79bSLingrui98  val ctrs = if (useSC) Vec(SCNTables, SInt(SCCtrBits.W)) else Vec(SCNTables, SInt(0.W))
626b98bdcbSLingrui98  val sumAbs = if (useSC) UInt(sumCtrBits.W) else UInt(0.W)
632fbdb79bSLingrui98}
642fbdb79bSLingrui98
65f3501106SGouLingruiclass TageMeta extends XSBundle with HasTageParameter {
66627c0a19Szhanglinjuan  val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
671e7d14a8Szhanglinjuan  val altDiffers = Bool()
681e7d14a8Szhanglinjuan  val providerU = UInt(2.W)
691e7d14a8Szhanglinjuan  val providerCtr = UInt(3.W)
70627c0a19Szhanglinjuan  val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
716b98bdcbSLingrui98  val taken = Bool()
722fbdb79bSLingrui98  val scMeta = new SCMeta(EnableSC)
731e7d14a8Szhanglinjuan}
741e7d14a8Szhanglinjuan
75d471c5aeSLingrui98@chiselName
76ceaf5e1fSLingrui98class BranchPrediction extends XSBundle with HasIFUConst {
77ceaf5e1fSLingrui98  // val redirect = Bool()
78ceaf5e1fSLingrui98  val takens = UInt(PredictWidth.W)
79ceaf5e1fSLingrui98  // val jmpIdx = UInt(log2Up(PredictWidth).W)
80ceaf5e1fSLingrui98  val brMask = UInt(PredictWidth.W)
81ceaf5e1fSLingrui98  val jalMask = UInt(PredictWidth.W)
82ceaf5e1fSLingrui98  val targets = Vec(PredictWidth, UInt(VAddrBits.W))
83ceaf5e1fSLingrui98
84ceaf5e1fSLingrui98  // marks the last 2 bytes of this fetch packet
85ceaf5e1fSLingrui98  // val endsAtTheEndOfFirstBank = Bool()
86ceaf5e1fSLingrui98  // val endsAtTheEndOfLastBank = Bool()
87ceaf5e1fSLingrui98
88576af497SLingrui98  // half RVI could only start at the end of a packet
89576af497SLingrui98  val hasHalfRVI = Bool()
90ceaf5e1fSLingrui98
91ceaf5e1fSLingrui98
92818ec9f9SLingrui98  // assumes that only one of the two conditions could be true
93576af497SLingrui98  def lastHalfRVIMask = Cat(hasHalfRVI.asUInt, 0.U((PredictWidth - 1).W))
94ceaf5e1fSLingrui98
95ceaf5e1fSLingrui98  def lastHalfRVIClearMask = ~lastHalfRVIMask
96*fe211d16SLinJiawei
97ceaf5e1fSLingrui98  // is taken from half RVI
98576af497SLingrui98  def lastHalfRVITaken = takens(PredictWidth - 1) && hasHalfRVI
99ceaf5e1fSLingrui98
100576af497SLingrui98  def lastHalfRVIIdx = (PredictWidth - 1).U
101*fe211d16SLinJiawei
102ceaf5e1fSLingrui98  // should not be used if not lastHalfRVITaken
103576af497SLingrui98  def lastHalfRVITarget = targets(PredictWidth - 1)
104ceaf5e1fSLingrui98
105ceaf5e1fSLingrui98  def realTakens = takens & lastHalfRVIClearMask
106*fe211d16SLinJiawei
107ceaf5e1fSLingrui98  def realBrMask = brMask & lastHalfRVIClearMask
108*fe211d16SLinJiawei
109ceaf5e1fSLingrui98  def realJalMask = jalMask & lastHalfRVIClearMask
110ceaf5e1fSLingrui98
111c0c378b3SLingrui98  def brNotTakens = (~takens & realBrMask)
112*fe211d16SLinJiawei
113ceaf5e1fSLingrui98  def sawNotTakenBr = VecInit((0 until PredictWidth).map(i =>
11444ff7871SLingrui98    (if (i == 0) false.B else ParallelORR(brNotTakens(i - 1, 0)))))
115*fe211d16SLinJiawei
116580c7a5eSLingrui98  // def hasNotTakenBrs = (brNotTakens & LowerMaskFromLowest(realTakens)).orR
11744ff7871SLingrui98  def unmaskedJmpIdx = ParallelPriorityEncoder(takens)
118*fe211d16SLinJiawei
119818ec9f9SLingrui98  // if not taken before the half RVI inst
120576af497SLingrui98  def saveHalfRVI = hasHalfRVI && !(ParallelORR(takens(PredictWidth - 2, 0)))
121*fe211d16SLinJiawei
122ceaf5e1fSLingrui98  // could get PredictWidth-1 when only the first bank is valid
12344ff7871SLingrui98  def jmpIdx = ParallelPriorityEncoder(realTakens)
124*fe211d16SLinJiawei
125ceaf5e1fSLingrui98  // only used when taken
126c0c378b3SLingrui98  def target = {
127c0c378b3SLingrui98    val generator = new PriorityMuxGenerator[UInt]
128c0c378b3SLingrui98    generator.register(realTakens.asBools, targets, List.fill(PredictWidth)(None))
129c0c378b3SLingrui98    generator()
130c0c378b3SLingrui98  }
131*fe211d16SLinJiawei
13244ff7871SLingrui98  def taken = ParallelORR(realTakens)
133*fe211d16SLinJiawei
13444ff7871SLingrui98  def takenOnBr = taken && ParallelPriorityMux(realTakens, realBrMask.asBools)
135*fe211d16SLinJiawei
13644ff7871SLingrui98  def hasNotTakenBrs = Mux(taken, ParallelPriorityMux(realTakens, sawNotTakenBr), ParallelORR(brNotTakens))
13766b0d0c3Szhanglinjuan}
13866b0d0c3Szhanglinjuan
13943ad9482SLingrui98class BpuMeta extends XSBundle with HasBPUParameter {
14053bf6077SLingrui98  val ubtbWriteWay = UInt(log2Up(UBtbWays).W)
141e3aeae54SLingrui98  val ubtbHits = Bool()
14253bf6077SLingrui98  val btbWriteWay = UInt(log2Up(BtbWays).W)
143e3aeae54SLingrui98  val bimCtr = UInt(2.W)
144f226232fSzhanglinjuan  val tageMeta = new TageMeta
145f634c609SLingrui98  // for global history
146f226232fSzhanglinjuan
1473a48285bSGouLingrui  val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
1483a48285bSGouLingrui  val debug_btb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
1493a48285bSGouLingrui  val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
150ec776fa0SLingrui98
1517d793c5aSzoujr  val predictor = if (BPUDebug) UInt(log2Up(4).W) else UInt(0.W) // Mark which component this prediction comes from {ubtb, btb, tage, loopPredictor}
1527d793c5aSzoujr
153f634c609SLingrui98  // def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = {
154f634c609SLingrui98  //   this.histPtr := histPtr
155f634c609SLingrui98  //   this.tageMeta := tageMeta
156f634c609SLingrui98  //   this.rasSp := rasSp
157f634c609SLingrui98  //   this.rasTopCtr := rasTopCtr
158f634c609SLingrui98  //   this.asUInt
159f634c609SLingrui98  // }
160f226232fSzhanglinjuan  def size = 0.U.asTypeOf(this).getWidth
161*fe211d16SLinJiawei
162f226232fSzhanglinjuan  def fromUInt(x: UInt) = x.asTypeOf(this)
16366b0d0c3Szhanglinjuan}
16466b0d0c3Szhanglinjuan
16504fb04efSLingrui98class Predecode extends XSBundle with HasIFUConst {
166ceaf5e1fSLingrui98  val hasLastHalfRVI = Bool()
1676215f044SLingrui98  val mask = UInt(PredictWidth.W)
168576af497SLingrui98  val lastHalf = Bool()
1696215f044SLingrui98  val pd = Vec(PredictWidth, (new PreDecodeInfo))
1706fb61704Szhanglinjuan}
1716fb61704Szhanglinjuan
1727d793c5aSzoujrclass CfiUpdateInfo extends XSBundle with HasBPUParameter {
173f226232fSzhanglinjuan  // from backend
17469cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
175f226232fSzhanglinjuan  // frontend -> backend -> frontend
176f226232fSzhanglinjuan  val pd = new PreDecodeInfo
1778a5e9243SLinJiawei  val rasSp = UInt(log2Up(RasSize).W)
1782e947747SLinJiawei  val rasEntry = new RASEntry
1798a5e9243SLinJiawei  val hist = new GlobalHistory
1808a5e9243SLinJiawei  val predHist = new GlobalHistory
1812e947747SLinJiawei  val specCnt = UInt(10.W)
182fe3a74fcSYinan Xu  // need pipeline update
1832e947747SLinJiawei  val sawNotTakenBranch = Bool()
1842e947747SLinJiawei  val predTaken = Bool()
185884dbb3bSLinJiawei  val target = UInt(VAddrBits.W)
1869a2e6b8aSLinJiawei  val taken = Bool()
187b2e6921eSLinJiawei  val isMisPred = Bool()
188b2e6921eSLinJiawei}
189b2e6921eSLinJiawei
1905844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
1915844fcf0SLinJiaweiclass CtrlFlow extends XSBundle {
1925844fcf0SLinJiawei  val instr = UInt(32.W)
1935844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
194baf8def6SYinan Xu  val exceptionVec = ExceptionVec()
1955844fcf0SLinJiawei  val intrVec = Vec(12, Bool())
196faf3cfa9SLinJiawei  val pd = new PreDecodeInfo
197cde9280dSLinJiawei  val pred_taken = Bool()
198c84054caSLinJiawei  val crossPageIPFFix = Bool()
199884dbb3bSLinJiawei  val ftqPtr = new FtqPtr
200884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
2015844fcf0SLinJiawei}
2025844fcf0SLinJiawei
2038a5e9243SLinJiaweiclass FtqEntry extends XSBundle {
204ec778fd0SLingrui98  // fetch pc, pc of each inst could be generated by concatenation
205faf3cfa9SLinJiawei  val ftqPC = UInt((VAddrBits.W))
206ec778fd0SLingrui98
207fe1ab9c6SLingrui98  val hasLastPrev = Bool()
208ec778fd0SLingrui98  // prediction metas
209ec778fd0SLingrui98  val hist = new GlobalHistory
210ec778fd0SLingrui98  val predHist = new GlobalHistory
211ec778fd0SLingrui98  val rasSp = UInt(log2Ceil(RasSize).W)
212ec778fd0SLingrui98  val rasTop = new RASEntry()
213744c623cSLingrui98  val specCnt = Vec(PredictWidth, UInt(10.W))
214ec778fd0SLingrui98  val metas = Vec(PredictWidth, new BpuMeta)
215ec778fd0SLingrui98
216b97160feSLinJiawei  val cfiIsCall, cfiIsRet, cfiIsRVC = Bool()
217744c623cSLingrui98  val rvc_mask = Vec(PredictWidth, Bool())
218b97160feSLinJiawei  val br_mask = Vec(PredictWidth, Bool())
219b97160feSLinJiawei  val cfiIndex = ValidUndirectioned(UInt(log2Up(PredictWidth).W))
220b97160feSLinJiawei  val valids = Vec(PredictWidth, Bool())
221ec778fd0SLingrui98
222c778d2afSLinJiawei  // backend update
223c778d2afSLinJiawei  val mispred = Vec(PredictWidth, Bool())
224148ba860SLinJiawei  val target = UInt(VAddrBits.W)
225744c623cSLingrui98
226744c623cSLingrui98  def takens = VecInit((0 until PredictWidth).map(i => cfiIndex.valid && cfiIndex.bits === i.U))
227*fe211d16SLinJiawei
228*fe211d16SLinJiawei  override def toPrintable: Printable = {
229*fe211d16SLinJiawei    p"ftqPC: $ftqPC valids:${Binary(valids.asUInt())} cfi valid: ${cfiIndex.valid} " +
230*fe211d16SLinJiawei      p"cfi index: ${cfiIndex.bits} isCall:$cfiIsCall isRet:$cfiIsRet isRvc:$cfiIsRVC " +
231*fe211d16SLinJiawei      p"mispred:$mispred target:${Hexadecimal(target)}\n"
232ec778fd0SLingrui98  }
233ec778fd0SLingrui98
234*fe211d16SLinJiawei}
235ec778fd0SLingrui98
236579b9f28SLinJiawei
237579b9f28SLinJiaweiclass FPUCtrlSignals extends XSBundle {
2382ce29ed6SLinJiawei  val isAddSub = Bool() // swap23
2392ce29ed6SLinJiawei  val typeTagIn = UInt(2.W)
2402ce29ed6SLinJiawei  val typeTagOut = UInt(2.W)
2412ce29ed6SLinJiawei  val fromInt = Bool()
2422ce29ed6SLinJiawei  val wflags = Bool()
2432ce29ed6SLinJiawei  val fpWen = Bool()
2442ce29ed6SLinJiawei  val fmaCmd = UInt(2.W)
2452ce29ed6SLinJiawei  val div = Bool()
2462ce29ed6SLinJiawei  val sqrt = Bool()
2472ce29ed6SLinJiawei  val fcvt = Bool()
2482ce29ed6SLinJiawei  val typ = UInt(2.W)
2492ce29ed6SLinJiawei  val fmt = UInt(2.W)
2502ce29ed6SLinJiawei  val ren3 = Bool() //TODO: remove SrcType.fp
251579b9f28SLinJiawei}
252579b9f28SLinJiawei
2535844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
2545844fcf0SLinJiaweiclass CtrlSignals extends XSBundle {
2559a2e6b8aSLinJiawei  val src1Type, src2Type, src3Type = SrcType()
2569a2e6b8aSLinJiawei  val lsrc1, lsrc2, lsrc3 = UInt(5.W)
2579a2e6b8aSLinJiawei  val ldest = UInt(5.W)
2589a2e6b8aSLinJiawei  val fuType = FuType()
2599a2e6b8aSLinJiawei  val fuOpType = FuOpType()
2609a2e6b8aSLinJiawei  val rfWen = Bool()
2619a2e6b8aSLinJiawei  val fpWen = Bool()
2629a2e6b8aSLinJiawei  val isXSTrap = Bool()
2632d366136SLinJiawei  val noSpecExec = Bool() // wait forward
2642d366136SLinJiawei  val blockBackward = Bool() // block backward
26545a56a29SZhangZifei  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
266db34a189SLinJiawei  val isRVF = Bool()
267c2a8ae00SYikeZhou  val selImm = SelImm()
268b0ae3ac4SLinJiawei  val imm = UInt(ImmUnion.maxLen.W)
269a3edac52SYinan Xu  val commitType = CommitType()
270579b9f28SLinJiawei  val fpu = new FPUCtrlSignals
271be25371aSYikeZhou
272be25371aSYikeZhou  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]) = {
273be25371aSYikeZhou    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table)
274be25371aSYikeZhou    val signals =
2754d24c305SYikeZhou      Seq(src1Type, src2Type, src3Type, fuType, fuOpType, rfWen, fpWen,
276c2a8ae00SYikeZhou        isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm)
277be25371aSYikeZhou    signals zip decoder map { case (s, d) => s := d }
2784d24c305SYikeZhou    commitType := DontCare
279be25371aSYikeZhou    this
280be25371aSYikeZhou  }
2815844fcf0SLinJiawei}
2825844fcf0SLinJiawei
2835844fcf0SLinJiaweiclass CfCtrl extends XSBundle {
2845844fcf0SLinJiawei  val cf = new CtrlFlow
2855844fcf0SLinJiawei  val ctrl = new CtrlSignals
2865844fcf0SLinJiawei}
2875844fcf0SLinJiawei
288ba4100caSYinan Xuclass PerfDebugInfo extends XSBundle {
289ba4100caSYinan Xu  // val fetchTime = UInt(64.W)
290ba4100caSYinan Xu  val renameTime = UInt(64.W)
2917cef916fSYinan Xu  val dispatchTime = UInt(64.W)
292ba4100caSYinan Xu  val issueTime = UInt(64.W)
293ba4100caSYinan Xu  val writebackTime = UInt(64.W)
2947cef916fSYinan Xu  // val commitTime = UInt(64.W)
295ba4100caSYinan Xu}
296ba4100caSYinan Xu
29748d1472eSWilliam Wang// Separate LSQ
298fe6452fcSYinan Xuclass LSIdx extends XSBundle {
299915c0dd4SYinan Xu  val lqIdx = new LqPtr
3005c1ae31bSYinan Xu  val sqIdx = new SqPtr
30124726fbfSWilliam Wang}
30224726fbfSWilliam Wang
303b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
304fe6452fcSYinan Xuclass MicroOp extends CfCtrl {
3059a2e6b8aSLinJiawei  val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W)
3069a2e6b8aSLinJiawei  val src1State, src2State, src3State = SrcState()
30742707b3bSYinan Xu  val roqIdx = new RoqPtr
308fe6452fcSYinan Xu  val lqIdx = new LqPtr
309fe6452fcSYinan Xu  val sqIdx = new SqPtr
310355fcd20SAllen  val diffTestDebugLrScValid = Bool()
3117cef916fSYinan Xu  val debugInfo = new PerfDebugInfo
3125844fcf0SLinJiawei}
3135844fcf0SLinJiawei
3144d8e0a7fSYinan Xuclass Redirect extends XSBundle {
31542707b3bSYinan Xu  val roqIdx = new RoqPtr
31636d7aed5SLinJiawei  val ftqIdx = new FtqPtr
31736d7aed5SLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
318bfb958a3SYinan Xu  val level = RedirectLevel()
319bfb958a3SYinan Xu  val interrupt = Bool()
320c778d2afSLinJiawei  val cfiUpdate = new CfiUpdateInfo
321bfb958a3SYinan Xu
322bfb958a3SYinan Xu  def isUnconditional() = RedirectLevel.isUnconditional(level)
323*fe211d16SLinJiawei
324bfb958a3SYinan Xu  def flushItself() = RedirectLevel.flushItself(level)
325*fe211d16SLinJiawei
326bfb958a3SYinan Xu  def isException() = RedirectLevel.isException(level)
327a25b1bceSLinJiawei}
328a25b1bceSLinJiawei
3295844fcf0SLinJiaweiclass Dp1ToDp2IO extends XSBundle {
3305c7b21d5SYinan Xu  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
3315c7b21d5SYinan Xu  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
3325c7b21d5SYinan Xu  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
3335844fcf0SLinJiawei}
3345844fcf0SLinJiawei
33560deaca2SLinJiaweiclass ReplayPregReq extends XSBundle {
33660deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
33760deaca2SLinJiawei  val isInt = Bool()
33860deaca2SLinJiawei  val isFp = Bool()
33960deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
3405844fcf0SLinJiawei}
3415844fcf0SLinJiawei
342e402d94eSWilliam Wangclass DebugBundle extends XSBundle {
34372235fa4SWilliam Wang  val isMMIO = Bool()
3448635f18fSwangkaifan  val isPerfCnt = Bool()
345e402d94eSWilliam Wang}
3465844fcf0SLinJiawei
3475844fcf0SLinJiaweiclass ExuInput extends XSBundle {
3485844fcf0SLinJiawei  val uop = new MicroOp
3499684eb4fSLinJiawei  val src1, src2, src3 = UInt((XLEN + 1).W)
3505844fcf0SLinJiawei}
3515844fcf0SLinJiawei
3525844fcf0SLinJiaweiclass ExuOutput extends XSBundle {
3535844fcf0SLinJiawei  val uop = new MicroOp
3549684eb4fSLinJiawei  val data = UInt((XLEN + 1).W)
3557f1506e3SLinJiawei  val fflags = UInt(5.W)
35697cfa7f8SLinJiawei  val redirectValid = Bool()
35797cfa7f8SLinJiawei  val redirect = new Redirect
358e402d94eSWilliam Wang  val debug = new DebugBundle
3595844fcf0SLinJiawei}
3605844fcf0SLinJiawei
36135bfeecbSYinan Xuclass ExternalInterruptIO extends XSBundle {
36235bfeecbSYinan Xu  val mtip = Input(Bool())
36335bfeecbSYinan Xu  val msip = Input(Bool())
36435bfeecbSYinan Xu  val meip = Input(Bool())
3655844fcf0SLinJiawei}
3665844fcf0SLinJiawei
36735bfeecbSYinan Xuclass CSRSpecialIO extends XSBundle {
36835bfeecbSYinan Xu  val exception = Flipped(ValidIO(new MicroOp))
3693fa7b737SYinan Xu  val isInterrupt = Input(Bool())
37035bfeecbSYinan Xu  val memExceptionVAddr = Input(UInt(VAddrBits.W))
37135bfeecbSYinan Xu  val trapTarget = Output(UInt(VAddrBits.W))
37235bfeecbSYinan Xu  val externalInterrupt = new ExternalInterruptIO
37335bfeecbSYinan Xu  val interrupt = Output(Bool())
37435bfeecbSYinan Xu}
37535bfeecbSYinan Xu
376fe6452fcSYinan Xuclass RoqCommitInfo extends XSBundle {
377fe6452fcSYinan Xu  val ldest = UInt(5.W)
378fe6452fcSYinan Xu  val rfWen = Bool()
379fe6452fcSYinan Xu  val fpWen = Bool()
380a1fd7de4SLinJiawei  val wflags = Bool()
381fe6452fcSYinan Xu  val commitType = CommitType()
382fe6452fcSYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
383fe6452fcSYinan Xu  val old_pdest = UInt(PhyRegIdxWidth.W)
384fe6452fcSYinan Xu  val lqIdx = new LqPtr
385fe6452fcSYinan Xu  val sqIdx = new SqPtr
386884dbb3bSLinJiawei  val ftqIdx = new FtqPtr
387884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
3885844fcf0SLinJiawei
3899ecac1e8SYinan Xu  // these should be optimized for synthesis verilog
3909ecac1e8SYinan Xu  val pc = UInt(VAddrBits.W)
391fe6452fcSYinan Xu}
3925844fcf0SLinJiawei
39321e7a6c5SYinan Xuclass RoqCommitIO extends XSBundle {
39421e7a6c5SYinan Xu  val isWalk = Output(Bool())
39521e7a6c5SYinan Xu  val valid = Vec(CommitWidth, Output(Bool()))
396fe6452fcSYinan Xu  val info = Vec(CommitWidth, Output(new RoqCommitInfo))
39721e7a6c5SYinan Xu
39821e7a6c5SYinan Xu  def hasWalkInstr = isWalk && valid.asUInt.orR
399*fe211d16SLinJiawei
40021e7a6c5SYinan Xu  def hasCommitInstr = !isWalk && valid.asUInt.orR
4015844fcf0SLinJiawei}
4025844fcf0SLinJiawei
40342707b3bSYinan Xuclass TlbFeedback extends XSBundle {
40442707b3bSYinan Xu  val roqIdx = new RoqPtr
405037a131fSWilliam Wang  val hit = Bool()
406037a131fSWilliam Wang}
407037a131fSWilliam Wang
4085844fcf0SLinJiaweiclass FrontendToBackendIO extends XSBundle {
4095844fcf0SLinJiawei  // to backend end
4105844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
4118a5e9243SLinJiawei  val fetchInfo = DecoupledIO(new FtqEntry)
4125844fcf0SLinJiawei  // from backend
413c778d2afSLinJiawei  val redirect_cfiUpdate = Flipped(ValidIO(new Redirect))
414c778d2afSLinJiawei  val commit_cfiUpdate = Flipped(ValidIO(new FtqEntry))
415fc4776e4SLinJiawei  val ftqEnqPtr = Input(new FtqPtr)
416fc4776e4SLinJiawei  val ftqLeftOne = Input(Bool())
4171e3fad10SLinJiawei}
418fcff7e94SZhangZifei
419fcff7e94SZhangZifeiclass TlbCsrBundle extends XSBundle {
420fcff7e94SZhangZifei  val satp = new Bundle {
421fcff7e94SZhangZifei    val mode = UInt(4.W) // TODO: may change number to parameter
422fcff7e94SZhangZifei    val asid = UInt(16.W)
423fcff7e94SZhangZifei    val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
424fcff7e94SZhangZifei  }
425fcff7e94SZhangZifei  val priv = new Bundle {
426fcff7e94SZhangZifei    val mxr = Bool()
427fcff7e94SZhangZifei    val sum = Bool()
428fcff7e94SZhangZifei    val imode = UInt(2.W)
429fcff7e94SZhangZifei    val dmode = UInt(2.W)
430fcff7e94SZhangZifei  }
4318fc4e859SZhangZifei
4328fc4e859SZhangZifei  override def toPrintable: Printable = {
4338fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
4348fc4e859SZhangZifei      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
4358fc4e859SZhangZifei  }
436fcff7e94SZhangZifei}
437fcff7e94SZhangZifei
438fcff7e94SZhangZifeiclass SfenceBundle extends XSBundle {
439fcff7e94SZhangZifei  val valid = Bool()
440fcff7e94SZhangZifei  val bits = new Bundle {
441fcff7e94SZhangZifei    val rs1 = Bool()
442fcff7e94SZhangZifei    val rs2 = Bool()
443fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
444fcff7e94SZhangZifei  }
4458fc4e859SZhangZifei
4468fc4e859SZhangZifei  override def toPrintable: Printable = {
4478fc4e859SZhangZifei    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
4488fc4e859SZhangZifei  }
449fcff7e94SZhangZifei}
450