11e3fad10SLinJiaweipackage xiangshan 21e3fad10SLinJiawei 31e3fad10SLinJiaweiimport chisel3._ 45844fcf0SLinJiaweiimport chisel3.util._ 5e402d94eSWilliam Wangimport bus.simplebus._ 6bfa4b2b4SLinJiaweiimport xiangshan.backend.brq.BrqPtr 70851457fSLinJiaweiimport xiangshan.backend.rename.FreeListPtr 81e3fad10SLinJiawei 95844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache 101e3fad10SLinJiaweiclass FetchPacket extends XSBundle { 111e3fad10SLinJiawei val instrs = Vec(FetchWidth, UInt(32.W)) 12e4698824Szoujr val mask = UInt((FetchWidth*2).W) 131e3fad10SLinJiawei val pc = UInt(VAddrBits.W) // the pc of first inst in the fetch group 14fda42022Szhanglinjuan val pnpc = Vec(FetchWidth, UInt(VAddrBits.W)) 151e3fad10SLinJiawei} 161e3fad10SLinJiawei 173803411bSzhanglinjuan 18627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle { 193803411bSzhanglinjuan val valid = Bool() 203803411bSzhanglinjuan val bits = gen.asInstanceOf[T] 21627c0a19Szhanglinjuan override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type] 223803411bSzhanglinjuan} 233803411bSzhanglinjuan 24627c0a19Szhanglinjuanobject ValidUndirectioned { 25627c0a19Szhanglinjuan def apply[T <: Data](gen: T) = { 26627c0a19Szhanglinjuan new ValidUndirectioned[T](gen) 273803411bSzhanglinjuan } 283803411bSzhanglinjuan} 293803411bSzhanglinjuan 301e7d14a8Szhanglinjuanclass TageMeta extends XSBundle { 31627c0a19Szhanglinjuan val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W)) 321e7d14a8Szhanglinjuan val altDiffers = Bool() 331e7d14a8Szhanglinjuan val providerU = UInt(2.W) 341e7d14a8Szhanglinjuan val providerCtr = UInt(3.W) 35627c0a19Szhanglinjuan val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W)) 361e7d14a8Szhanglinjuan} 371e7d14a8Szhanglinjuan 38e983e862Szhanglinjuan// Branch prediction result from BPU Stage1 & 3 396fb61704Szhanglinjuanclass BranchPrediction extends XSBundle { 40e983e862Szhanglinjuan val redirect = Bool() 41e983e862Szhanglinjuan 426fb61704Szhanglinjuan // mask off all the instrs after the first redirect instr 436fb61704Szhanglinjuan val instrValid = Vec(FetchWidth, Bool()) 44dff546ecSzhanglinjuan // target of the first redirect instr in a fetch package 456fb61704Szhanglinjuan val target = UInt(VAddrBits.W) 46e983e862Szhanglinjuan 47e983e862Szhanglinjuan // save these info in brq! 48e983e862Szhanglinjuan // global history of each valid(or uncancelled) instruction, excluding branch's own prediction result 49140dcc2eSzhanglinjuan val hist = Vec(FetchWidth, UInt(HistoryLength.W)) 50*f95e78ecSzhanglinjuan // victim way when updating btb 51*f95e78ecSzhanglinjuan val btbVictimWay = UInt(log2Up(BtbWays).W) 52*f95e78ecSzhanglinjuan // 2-bit saturated counter 53*f95e78ecSzhanglinjuan val predCtr = Vec(FetchWidth, UInt(2.W)) 54*f95e78ecSzhanglinjuan val btbHitWay = Bool() 551e7d14a8Szhanglinjuan // tage meta info 561e7d14a8Szhanglinjuan val tageMeta = Vec(FetchWidth, (new TageMeta)) 57e983e862Szhanglinjuan // ras checkpoint, only used in Stage3 58dff546ecSzhanglinjuan val rasSp = UInt(log2Up(RasSize).W) 59dff546ecSzhanglinjuan val rasTopCtr = UInt(8.W) 606fb61704Szhanglinjuan} 616fb61704Szhanglinjuan 626fb61704Szhanglinjuan// Save predecode info in icache 636fb61704Szhanglinjuanclass Predecode extends XSBundle { 6494947342Szhanglinjuan val mask = UInt(FetchWidth.W) 656fb61704Szhanglinjuan val fuTypes = Vec(FetchWidth, FuType()) 666fb61704Szhanglinjuan val fuOpTypes = Vec(FetchWidth, FuOpType()) 676fb61704Szhanglinjuan} 686fb61704Szhanglinjuan 695844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer 705844fcf0SLinJiaweiclass CtrlFlow extends XSBundle { 715844fcf0SLinJiawei val instr = UInt(32.W) 725844fcf0SLinJiawei val pc = UInt(VAddrBits.W) 73fda42022Szhanglinjuan val pnpc = UInt(VAddrBits.W) 745844fcf0SLinJiawei val exceptionVec = Vec(16, Bool()) 755844fcf0SLinJiawei val intrVec = Vec(12, Bool()) 769a2e6b8aSLinJiawei val isRVC = Bool() 779a2e6b8aSLinJiawei val isBr = Bool() 785844fcf0SLinJiawei} 795844fcf0SLinJiawei 805844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage 815844fcf0SLinJiaweiclass CtrlSignals extends XSBundle { 829a2e6b8aSLinJiawei val src1Type, src2Type, src3Type = SrcType() 839a2e6b8aSLinJiawei val lsrc1, lsrc2, lsrc3 = UInt(5.W) 849a2e6b8aSLinJiawei val ldest = UInt(5.W) 859a2e6b8aSLinJiawei val fuType = FuType() 869a2e6b8aSLinJiawei val fuOpType = FuOpType() 879a2e6b8aSLinJiawei val rfWen = Bool() 889a2e6b8aSLinJiawei val fpWen = Bool() 899a2e6b8aSLinJiawei val isXSTrap = Bool() 909a2e6b8aSLinJiawei val noSpecExec = Bool() // This inst can not be speculated 919a2e6b8aSLinJiawei val isBlocked = Bool() // This inst requires pipeline to be blocked 92db34a189SLinJiawei val isRVF = Bool() 93db34a189SLinJiawei val imm = UInt(XLEN.W) 945844fcf0SLinJiawei} 955844fcf0SLinJiawei 965844fcf0SLinJiaweiclass CfCtrl extends XSBundle { 975844fcf0SLinJiawei val cf = new CtrlFlow 985844fcf0SLinJiawei val ctrl = new CtrlSignals 99bfa4b2b4SLinJiawei val brTag = new BrqPtr 1005844fcf0SLinJiawei} 1015844fcf0SLinJiawei 1025844fcf0SLinJiawei// CfCtrl -> MicroOp at Rename Stage 1035844fcf0SLinJiaweiclass MicroOp extends CfCtrl { 1045844fcf0SLinJiawei 1059a2e6b8aSLinJiawei val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W) 1069a2e6b8aSLinJiawei val src1State, src2State, src3State = SrcState() 1070851457fSLinJiawei val freelistAllocPtr = new FreeListPtr 1085844fcf0SLinJiawei val roqIdx = UInt(RoqIdxWidth.W) 1095844fcf0SLinJiawei} 1105844fcf0SLinJiawei 1111e3fad10SLinJiaweiclass Redirect extends XSBundle { 112fda42022Szhanglinjuan val pc = UInt(VAddrBits.W) // wrongly predicted pc 1131e3fad10SLinJiawei val target = UInt(VAddrBits.W) 11443c072e7Szhanglinjuan val brTarget = UInt(VAddrBits.W) 115bfa4b2b4SLinJiawei val brTag = new BrqPtr 116fda42022Szhanglinjuan val _type = UInt(2.W) 1176fb61704Szhanglinjuan val isCall = Bool() 118fda42022Szhanglinjuan val taken = Bool() 1196fb61704Szhanglinjuan val hist = UInt(HistoryLength.W) 1201e7d14a8Szhanglinjuan val tageMeta = new TageMeta 121028970c4Szhanglinjuan val fetchIdx = UInt(log2Up(FetchWidth).W) 122*f95e78ecSzhanglinjuan val btbVictimWay = UInt(log2Up(BtbWays).W) 123*f95e78ecSzhanglinjuan val btbPredCtr = UInt(2.W) 124*f95e78ecSzhanglinjuan val btbHitWay = Bool() 125cf1c5078Szhanglinjuan val rasSp = UInt(log2Up(RasSize).W) 126cf1c5078Szhanglinjuan val rasTopCtr = UInt(8.W) 12737fcf7fbSLinJiawei val isException = Bool() 128ab7d3e5fSWilliam Wang val roqIdx = UInt(RoqIdxWidth.W) 1290851457fSLinJiawei val freelistAllocPtr = new FreeListPtr 1305844fcf0SLinJiawei} 1315844fcf0SLinJiawei 132a25b1bceSLinJiaweiclass RedirectInfo extends XSBundle { 133a25b1bceSLinJiawei 134a25b1bceSLinJiawei val valid = Bool() // a valid commit form brq/roq 135a25b1bceSLinJiawei val misPred = Bool() // a branch miss prediction ? 136a25b1bceSLinJiawei val redirect = new Redirect 137a25b1bceSLinJiawei 138a25b1bceSLinJiawei def flush():Bool = valid && (redirect.isException || misPred) 139a25b1bceSLinJiawei} 140a25b1bceSLinJiawei 1415844fcf0SLinJiaweiclass Dp1ToDp2IO extends XSBundle { 1425844fcf0SLinJiawei val intDqToDp2 = Vec(IntDqDeqWidth, DecoupledIO(new MicroOp)) 1435844fcf0SLinJiawei val fpDqToDp2 = Vec(FpDqDeqWidth, DecoupledIO(new MicroOp)) 1445844fcf0SLinJiawei val lsDqToDp2 = Vec(LsDqDeqWidth, DecoupledIO(new MicroOp)) 1455844fcf0SLinJiawei} 1465844fcf0SLinJiawei 147e402d94eSWilliam Wangclass DebugBundle extends XSBundle{ 14872235fa4SWilliam Wang val isMMIO = Bool() 149e402d94eSWilliam Wang} 1505844fcf0SLinJiawei 1515844fcf0SLinJiaweiclass ExuInput extends XSBundle { 1525844fcf0SLinJiawei val uop = new MicroOp 1535844fcf0SLinJiawei val src1, src2, src3 = UInt(XLEN.W) 1545844fcf0SLinJiawei} 1555844fcf0SLinJiawei 1565844fcf0SLinJiaweiclass ExuOutput extends XSBundle { 1575844fcf0SLinJiawei val uop = new MicroOp 1585844fcf0SLinJiawei val data = UInt(XLEN.W) 15997cfa7f8SLinJiawei val redirectValid = Bool() 16097cfa7f8SLinJiawei val redirect = new Redirect 161e402d94eSWilliam Wang val debug = new DebugBundle 1625844fcf0SLinJiawei} 1635844fcf0SLinJiawei 1645844fcf0SLinJiaweiclass ExuIO extends XSBundle { 1655844fcf0SLinJiawei val in = Flipped(DecoupledIO(new ExuInput)) 166c3174e61SZhangZifei val redirect = Flipped(ValidIO(new Redirect)) 1675844fcf0SLinJiawei val out = DecoupledIO(new ExuOutput) 168e402d94eSWilliam Wang 169e402d94eSWilliam Wang // for Lsu 170e402d94eSWilliam Wang val dmem = new SimpleBusUC 1714e1a70f6SWilliam Wang val scommit = Input(UInt(3.W)) 1725844fcf0SLinJiawei} 1735844fcf0SLinJiawei 1745844fcf0SLinJiaweiclass RoqCommit extends XSBundle { 1755844fcf0SLinJiawei val uop = new MicroOp 176296e7422SLinJiawei val isWalk = Bool() 1775844fcf0SLinJiawei} 1785844fcf0SLinJiawei 1795844fcf0SLinJiaweiclass FrontendToBackendIO extends XSBundle { 1805844fcf0SLinJiawei // to backend end 1815844fcf0SLinJiawei val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 1825844fcf0SLinJiawei // from backend 183a25b1bceSLinJiawei val redirectInfo = Input(new RedirectInfo) 1845844fcf0SLinJiawei val commits = Vec(CommitWidth, Flipped(ValidIO(new RoqCommit))) // update branch pred 1851e3fad10SLinJiawei} 186