xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision f06ca0bfeff8c21c487df215d7ba8580bef5d0c4)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3c6d43980SLemover*
4c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
5c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
6c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
7c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
8c6d43980SLemover*
9c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
10c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
11c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
12c6d43980SLemover*
13c6d43980SLemover* See the Mulan PSL v2 for more details.
14c6d43980SLemover***************************************************************************************/
15c6d43980SLemover
161e3fad10SLinJiaweipackage xiangshan
171e3fad10SLinJiawei
181e3fad10SLinJiaweiimport chisel3._
195844fcf0SLinJiaweiimport chisel3.util._
2042707b3bSYinan Xuimport xiangshan.backend.roq.RoqPtr
21*f06ca0bfSLingrui98import xiangshan.backend.CtrlToFtqIO
22de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode}
235c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr}
242b8b2e7aSWilliam Wangimport xiangshan.frontend.PreDecodeInfo
253c02c6c7Szoujr// import xiangshan.frontend.HasTageParameter
263c02c6c7Szoujr// import xiangshan.frontend.HasSCParameter
27*f06ca0bfSLingrui98import xiangshan.frontend.HasBPUParameter
28f634c609SLingrui98import xiangshan.frontend.GlobalHistory
297447ee13SLingrui98import xiangshan.frontend.RASEntry
302b8b2e7aSWilliam Wangimport xiangshan.frontend.BPUCtrl
31e0d9a9f0SLingrui98import xiangshan.frontend.FtqPtr
32e0d9a9f0SLingrui98import xiangshan.frontend.FtqRead
33*f06ca0bfSLingrui98import xiangshan.frontend.FtqToCtrlIO
34ceaf5e1fSLingrui98import utils._
35b0ae3ac4SLinJiawei
362fbdb79bSLingrui98import scala.math.max
37d471c5aeSLingrui98import Chisel.experimental.chiselName
382225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters
391e3fad10SLinJiawei
405844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache
41de169c67SWilliam Wangclass FetchPacket(implicit p: Parameters) extends XSBundle {
4228958354Szhanglinjuan  val instrs = Vec(PredictWidth, UInt(32.W))
4328958354Szhanglinjuan  val mask = UInt(PredictWidth.W)
444ec80874Szoujr  val pdmask = UInt(PredictWidth.W)
4542696a74Szhanglinjuan  // val pc = UInt(VAddrBits.W)
4642696a74Szhanglinjuan  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
47de169c67SWilliam Wang  val foldpc = Vec(PredictWidth, UInt(MemPredPCWidth.W))
48a428082bSLinJiawei  val pd = Vec(PredictWidth, new PreDecodeInfo)
495a67e465Szhanglinjuan  val ipf = Bool()
507e6acce3Sjinyue110  val acf = Bool()
515a67e465Szhanglinjuan  val crossPageIPFFix = Bool()
52744c623cSLingrui98  val pred_taken = UInt(PredictWidth.W)
53744c623cSLingrui98  val ftqPtr = new FtqPtr
541e3fad10SLinJiawei}
551e3fad10SLinJiawei
56627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
573803411bSzhanglinjuan  val valid = Bool()
5835fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
59fe211d16SLinJiawei
60627c0a19Szhanglinjuan  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
613803411bSzhanglinjuan}
623803411bSzhanglinjuan
63627c0a19Szhanglinjuanobject ValidUndirectioned {
64627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
65627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
663803411bSzhanglinjuan  }
673803411bSzhanglinjuan}
683803411bSzhanglinjuan
691b7adedcSWilliam Wangobject RSFeedbackType {
701b7adedcSWilliam Wang  val tlbMiss = 0.U(2.W)
711b7adedcSWilliam Wang  val mshrFull = 1.U(2.W)
721b7adedcSWilliam Wang  val dataInvalid = 2.U(2.W)
731b7adedcSWilliam Wang
741b7adedcSWilliam Wang  def apply() = UInt(2.W)
751b7adedcSWilliam Wang}
761b7adedcSWilliam Wang
773c02c6c7Szoujr// class SCMeta(val useSC: Boolean)(implicit p: Parameters) extends XSBundle with HasSCParameter {
783c02c6c7Szoujr//   val tageTaken = if (useSC) Bool() else UInt(0.W)
793c02c6c7Szoujr//   val scUsed = if (useSC) Bool() else UInt(0.W)
803c02c6c7Szoujr//   val scPred = if (useSC) Bool() else UInt(0.W)
813c02c6c7Szoujr//   // Suppose ctrbits of all tables are identical
823c02c6c7Szoujr//   val ctrs = if (useSC) Vec(SCNTables, SInt(SCCtrBits.W)) else Vec(SCNTables, SInt(0.W))
833c02c6c7Szoujr// }
842fbdb79bSLingrui98
853c02c6c7Szoujr// class TageMeta(implicit p: Parameters) extends XSBundle with HasTageParameter {
863c02c6c7Szoujr//   val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
873c02c6c7Szoujr//   val altDiffers = Bool()
883c02c6c7Szoujr//   val providerU = UInt(2.W)
893c02c6c7Szoujr//   val providerCtr = UInt(3.W)
903c02c6c7Szoujr//   val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
913c02c6c7Szoujr//   val taken = Bool()
923c02c6c7Szoujr//   val scMeta = new SCMeta(EnableSC)
933c02c6c7Szoujr// }
941e7d14a8Szhanglinjuan
952225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle {
96097c2688SLingrui98  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
97097c2688SLingrui98  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
98097c2688SLingrui98  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
9951b2a476Szoujr}
10051b2a476Szoujr
101*f06ca0bfSLingrui98// class BpuMeta(implicit p: Parameters) extends XSBundle with HasBPUParameter {
102*f06ca0bfSLingrui98//   val btbWriteWay = UInt(log2Up(BtbWays).W)
103*f06ca0bfSLingrui98//   val btbHit = Bool()
104*f06ca0bfSLingrui98//   val bimCtr = UInt(2.W)
105*f06ca0bfSLingrui98//   // val tageMeta = new TageMeta
106*f06ca0bfSLingrui98//   // for global history
107f226232fSzhanglinjuan
108*f06ca0bfSLingrui98//   val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
109*f06ca0bfSLingrui98//   val debug_btb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
110*f06ca0bfSLingrui98//   val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
111ec776fa0SLingrui98
112*f06ca0bfSLingrui98//   val predictor = if (BPUDebug) UInt(log2Up(4).W) else UInt(0.W) // Mark which component this prediction comes from {ubtb, btb, tage, loopPredictor}
1137d793c5aSzoujr
114*f06ca0bfSLingrui98//   val ubtbHit = if (BPUDebug) UInt(1.W) else UInt(0.W)
1158f6a1237SSteve Gou
116*f06ca0bfSLingrui98//   val ubtbAns = new PredictorAnswer
117*f06ca0bfSLingrui98//   val btbAns = new PredictorAnswer
118*f06ca0bfSLingrui98//   val tageAns = new PredictorAnswer
119*f06ca0bfSLingrui98//   val rasAns = new PredictorAnswer
120*f06ca0bfSLingrui98//   val loopAns = new PredictorAnswer
12151b2a476Szoujr
122*f06ca0bfSLingrui98//   // def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = {
123*f06ca0bfSLingrui98//   //   this.histPtr := histPtr
124*f06ca0bfSLingrui98//   //   this.tageMeta := tageMeta
125*f06ca0bfSLingrui98//   //   this.rasSp := rasSp
126*f06ca0bfSLingrui98//   //   this.rasTopCtr := rasTopCtr
127*f06ca0bfSLingrui98//   //   this.asUInt
128*f06ca0bfSLingrui98//   // }
129*f06ca0bfSLingrui98//   def size = 0.U.asTypeOf(this).getWidth
130*f06ca0bfSLingrui98
131*f06ca0bfSLingrui98//   def fromUInt(x: UInt) = x.asTypeOf(this)
132f634c609SLingrui98// }
1336fb61704Szhanglinjuan
1342225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter {
135f226232fSzhanglinjuan  // from backend
13669cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
137f226232fSzhanglinjuan  // frontend -> backend -> frontend
138f226232fSzhanglinjuan  val pd = new PreDecodeInfo
1398a5e9243SLinJiawei  val rasSp = UInt(log2Up(RasSize).W)
1402e947747SLinJiawei  val rasEntry = new RASEntry
1418a5e9243SLinJiawei  val hist = new GlobalHistory
1428a5e9243SLinJiawei  val predHist = new GlobalHistory
143f6fc1a05Szoujr  val specCnt = Vec(PredictWidth, UInt(10.W))
144fe3a74fcSYinan Xu  // need pipeline update
1452e947747SLinJiawei  val sawNotTakenBranch = Bool()
1462e947747SLinJiawei  val predTaken = Bool()
147b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
1489a2e6b8aSLinJiawei  val taken = Bool()
149b2e6921eSLinJiawei  val isMisPred = Bool()
150b2e6921eSLinJiawei}
151b2e6921eSLinJiawei
1525844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
153de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle {
1545844fcf0SLinJiawei  val instr = UInt(32.W)
1555844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
156de169c67SWilliam Wang  val foldpc = UInt(MemPredPCWidth.W)
157baf8def6SYinan Xu  val exceptionVec = ExceptionVec()
1585844fcf0SLinJiawei  val intrVec = Vec(12, Bool())
159faf3cfa9SLinJiawei  val pd = new PreDecodeInfo
160cde9280dSLinJiawei  val pred_taken = Bool()
161c84054caSLinJiawei  val crossPageIPFFix = Bool()
162de169c67SWilliam Wang  val storeSetHit = Bool() // inst has been allocated an store set
1632b8b2e7aSWilliam Wang  val loadWaitBit = Bool() // load inst should not be executed until all former store addr calcuated
164de169c67SWilliam Wang  val ssid = UInt(SSIDWidth.W)
165884dbb3bSLinJiawei  val ftqPtr = new FtqPtr
166884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
1675844fcf0SLinJiawei}
1685844fcf0SLinJiawei
1692225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle {
1702ce29ed6SLinJiawei  val isAddSub = Bool() // swap23
1712ce29ed6SLinJiawei  val typeTagIn = UInt(2.W)
1722ce29ed6SLinJiawei  val typeTagOut = UInt(2.W)
1732ce29ed6SLinJiawei  val fromInt = Bool()
1742ce29ed6SLinJiawei  val wflags = Bool()
1752ce29ed6SLinJiawei  val fpWen = Bool()
1762ce29ed6SLinJiawei  val fmaCmd = UInt(2.W)
1772ce29ed6SLinJiawei  val div = Bool()
1782ce29ed6SLinJiawei  val sqrt = Bool()
1792ce29ed6SLinJiawei  val fcvt = Bool()
1802ce29ed6SLinJiawei  val typ = UInt(2.W)
1812ce29ed6SLinJiawei  val fmt = UInt(2.W)
1822ce29ed6SLinJiawei  val ren3 = Bool() //TODO: remove SrcType.fp
183e6c6b64fSLinJiawei  val rm = UInt(3.W)
184579b9f28SLinJiawei}
185579b9f28SLinJiawei
1865844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
1872225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle {
18820e31bd1SYinan Xu  val srcType = Vec(3, SrcType())
18920e31bd1SYinan Xu  val lsrc = Vec(3, UInt(5.W))
1909a2e6b8aSLinJiawei  val ldest = UInt(5.W)
1919a2e6b8aSLinJiawei  val fuType = FuType()
1929a2e6b8aSLinJiawei  val fuOpType = FuOpType()
1939a2e6b8aSLinJiawei  val rfWen = Bool()
1949a2e6b8aSLinJiawei  val fpWen = Bool()
1959a2e6b8aSLinJiawei  val isXSTrap = Bool()
1962d366136SLinJiawei  val noSpecExec = Bool() // wait forward
1972d366136SLinJiawei  val blockBackward = Bool() // block backward
19845a56a29SZhangZifei  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
199db34a189SLinJiawei  val isRVF = Bool()
200c2a8ae00SYikeZhou  val selImm = SelImm()
201b0ae3ac4SLinJiawei  val imm = UInt(ImmUnion.maxLen.W)
202a3edac52SYinan Xu  val commitType = CommitType()
203579b9f28SLinJiawei  val fpu = new FPUCtrlSignals
204aac4464eSYinan Xu  val isMove = Bool()
205be25371aSYikeZhou
206be25371aSYikeZhou  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]) = {
207be25371aSYikeZhou    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table)
208be25371aSYikeZhou    val signals =
20920e31bd1SYinan Xu      Seq(srcType(0), srcType(1), srcType(2), fuType, fuOpType, rfWen, fpWen,
210c2a8ae00SYikeZhou        isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm)
211be25371aSYikeZhou    signals zip decoder map { case (s, d) => s := d }
2124d24c305SYikeZhou    commitType := DontCare
213be25371aSYikeZhou    this
214be25371aSYikeZhou  }
2155844fcf0SLinJiawei}
2165844fcf0SLinJiawei
2172225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle {
2185844fcf0SLinJiawei  val cf = new CtrlFlow
2195844fcf0SLinJiawei  val ctrl = new CtrlSignals
2205844fcf0SLinJiawei}
2215844fcf0SLinJiawei
2222225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle {
223aac4464eSYinan Xu  val src1MoveElim = Bool()
224aac4464eSYinan Xu  val src2MoveElim = Bool()
225ba4100caSYinan Xu  // val fetchTime = UInt(64.W)
226ba4100caSYinan Xu  val renameTime = UInt(64.W)
2277cef916fSYinan Xu  val dispatchTime = UInt(64.W)
228ba4100caSYinan Xu  val issueTime = UInt(64.W)
229ba4100caSYinan Xu  val writebackTime = UInt(64.W)
2307cef916fSYinan Xu  // val commitTime = UInt(64.W)
231ba4100caSYinan Xu}
232ba4100caSYinan Xu
23348d1472eSWilliam Wang// Separate LSQ
2342225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle {
235915c0dd4SYinan Xu  val lqIdx = new LqPtr
2365c1ae31bSYinan Xu  val sqIdx = new SqPtr
23724726fbfSWilliam Wang}
23824726fbfSWilliam Wang
239b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
2402225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl {
24120e31bd1SYinan Xu  val srcState = Vec(3, SrcState())
24220e31bd1SYinan Xu  val psrc = Vec(3, UInt(PhyRegIdxWidth.W))
24320e31bd1SYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
24420e31bd1SYinan Xu  val old_pdest = UInt(PhyRegIdxWidth.W)
24542707b3bSYinan Xu  val roqIdx = new RoqPtr
246fe6452fcSYinan Xu  val lqIdx = new LqPtr
247fe6452fcSYinan Xu  val sqIdx = new SqPtr
248355fcd20SAllen  val diffTestDebugLrScValid = Bool()
2497cef916fSYinan Xu  val debugInfo = new PerfDebugInfo
25083596a03SYinan Xu  def needRfRPort(index: Int, rfType: Int, ignoreState: Boolean = true) : Bool = {
251a338f247SYinan Xu    (index, rfType) match {
25220e31bd1SYinan Xu      case (0, 0) => ctrl.srcType(0) === SrcType.reg && ctrl.lsrc(0) =/= 0.U && (srcState(0) === SrcState.rdy || ignoreState.B)
25320e31bd1SYinan Xu      case (1, 0) => ctrl.srcType(1) === SrcType.reg && ctrl.lsrc(1) =/= 0.U && (srcState(1) === SrcState.rdy || ignoreState.B)
25420e31bd1SYinan Xu      case (0, 1) => ctrl.srcType(0) === SrcType.fp && (srcState(0) === SrcState.rdy || ignoreState.B)
25520e31bd1SYinan Xu      case (1, 1) => ctrl.srcType(1) === SrcType.fp && (srcState(1) === SrcState.rdy || ignoreState.B)
25620e31bd1SYinan Xu      case (2, 1) => ctrl.srcType(2) === SrcType.fp && (srcState(2) === SrcState.rdy || ignoreState.B)
257a338f247SYinan Xu      case _ => false.B
258a338f247SYinan Xu    }
259a338f247SYinan Xu  }
2605c7674feSYinan Xu  def srcIsReady: Vec[Bool] = {
2615c7674feSYinan Xu    VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcImm(t) || s === SrcState.rdy })
2625c7674feSYinan Xu  }
2635c7674feSYinan Xu  def doWriteIntRf: Bool = ctrl.rfWen && ctrl.ldest =/= 0.U
2645c7674feSYinan Xu  def doWriteFpRf: Bool = ctrl.fpWen
2655844fcf0SLinJiawei}
2665844fcf0SLinJiawei
267de169c67SWilliam Wangclass MicroOpRbExt(implicit p: Parameters) extends XSBundle {
268de169c67SWilliam Wang  val uop = new MicroOp
269de169c67SWilliam Wang  val flag = UInt(1.W)
270de169c67SWilliam Wang}
271de169c67SWilliam Wang
2722225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle {
27342707b3bSYinan Xu  val roqIdx = new RoqPtr
27436d7aed5SLinJiawei  val ftqIdx = new FtqPtr
27536d7aed5SLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
276bfb958a3SYinan Xu  val level = RedirectLevel()
277bfb958a3SYinan Xu  val interrupt = Bool()
278c778d2afSLinJiawei  val cfiUpdate = new CfiUpdateInfo
279bfb958a3SYinan Xu
280de169c67SWilliam Wang  val stFtqIdx = new FtqPtr // for load violation predict
281de169c67SWilliam Wang  val stFtqOffset = UInt(log2Up(PredictWidth).W)
282fe211d16SLinJiawei
2832d7c7105SYinan Xu  // def isUnconditional() = RedirectLevel.isUnconditional(level)
284bfb958a3SYinan Xu  def flushItself() = RedirectLevel.flushItself(level)
2852d7c7105SYinan Xu  // def isException() = RedirectLevel.isException(level)
286a25b1bceSLinJiawei}
287a25b1bceSLinJiawei
2882225d46eSJiawei Linclass Dp1ToDp2IO(implicit p: Parameters) extends XSBundle {
2895c7b21d5SYinan Xu  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
2905c7b21d5SYinan Xu  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
2915c7b21d5SYinan Xu  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
2925844fcf0SLinJiawei}
2935844fcf0SLinJiawei
2942225d46eSJiawei Linclass ReplayPregReq(implicit p: Parameters) extends XSBundle {
29560deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
29660deaca2SLinJiawei  val isInt = Bool()
29760deaca2SLinJiawei  val isFp = Bool()
29860deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
2995844fcf0SLinJiawei}
3005844fcf0SLinJiawei
3012225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle {
30272235fa4SWilliam Wang  val isMMIO = Bool()
3038635f18fSwangkaifan  val isPerfCnt = Bool()
3048b91a337SWilliam Wang  val paddr = UInt(PAddrBits.W)
305e402d94eSWilliam Wang}
3065844fcf0SLinJiawei
3072225d46eSJiawei Linclass ExuInput(implicit p: Parameters) extends XSBundle {
3085844fcf0SLinJiawei  val uop = new MicroOp
3092bd5334dSYinan Xu  val src = Vec(3, UInt((XLEN + 1).W))
3105844fcf0SLinJiawei}
3115844fcf0SLinJiawei
3122225d46eSJiawei Linclass ExuOutput(implicit p: Parameters) extends XSBundle {
3135844fcf0SLinJiawei  val uop = new MicroOp
3149684eb4fSLinJiawei  val data = UInt((XLEN + 1).W)
3157f1506e3SLinJiawei  val fflags = UInt(5.W)
31697cfa7f8SLinJiawei  val redirectValid = Bool()
31797cfa7f8SLinJiawei  val redirect = new Redirect
318e402d94eSWilliam Wang  val debug = new DebugBundle
3195844fcf0SLinJiawei}
3205844fcf0SLinJiawei
3212225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle {
32235bfeecbSYinan Xu  val mtip = Input(Bool())
32335bfeecbSYinan Xu  val msip = Input(Bool())
32435bfeecbSYinan Xu  val meip = Input(Bool())
3255844fcf0SLinJiawei}
3265844fcf0SLinJiawei
3272225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle {
32835bfeecbSYinan Xu  val exception = Flipped(ValidIO(new MicroOp))
3293fa7b737SYinan Xu  val isInterrupt = Input(Bool())
33035bfeecbSYinan Xu  val memExceptionVAddr = Input(UInt(VAddrBits.W))
33135bfeecbSYinan Xu  val trapTarget = Output(UInt(VAddrBits.W))
33235bfeecbSYinan Xu  val externalInterrupt = new ExternalInterruptIO
33335bfeecbSYinan Xu  val interrupt = Output(Bool())
33435bfeecbSYinan Xu}
33535bfeecbSYinan Xu
3362225d46eSJiawei Linclass ExceptionInfo(implicit p: Parameters) extends XSBundle {
3373a474d38SYinan Xu  val uop = new MicroOp
3383a474d38SYinan Xu  val isInterrupt = Bool()
3393a474d38SYinan Xu}
3403a474d38SYinan Xu
3412225d46eSJiawei Linclass RoqCommitInfo(implicit p: Parameters) extends XSBundle {
342fe6452fcSYinan Xu  val ldest = UInt(5.W)
343fe6452fcSYinan Xu  val rfWen = Bool()
344fe6452fcSYinan Xu  val fpWen = Bool()
345a1fd7de4SLinJiawei  val wflags = Bool()
346fe6452fcSYinan Xu  val commitType = CommitType()
347fe6452fcSYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
348fe6452fcSYinan Xu  val old_pdest = UInt(PhyRegIdxWidth.W)
349884dbb3bSLinJiawei  val ftqIdx = new FtqPtr
350884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
3515844fcf0SLinJiawei
3529ecac1e8SYinan Xu  // these should be optimized for synthesis verilog
3539ecac1e8SYinan Xu  val pc = UInt(VAddrBits.W)
354fe6452fcSYinan Xu}
3555844fcf0SLinJiawei
3562225d46eSJiawei Linclass RoqCommitIO(implicit p: Parameters) extends XSBundle {
35721e7a6c5SYinan Xu  val isWalk = Output(Bool())
35821e7a6c5SYinan Xu  val valid = Vec(CommitWidth, Output(Bool()))
359fe6452fcSYinan Xu  val info = Vec(CommitWidth, Output(new RoqCommitInfo))
36021e7a6c5SYinan Xu
36121e7a6c5SYinan Xu  def hasWalkInstr = isWalk && valid.asUInt.orR
362fe211d16SLinJiawei
36321e7a6c5SYinan Xu  def hasCommitInstr = !isWalk && valid.asUInt.orR
3645844fcf0SLinJiawei}
3655844fcf0SLinJiawei
3661b7adedcSWilliam Wangclass RSFeedback(implicit p: Parameters) extends XSBundle {
36764e8d8bdSZhangZifei  val rsIdx = UInt(log2Up(IssQueSize).W)
368037a131fSWilliam Wang  val hit = Bool()
36962f57a35SLemover  val flushState = Bool()
3701b7adedcSWilliam Wang  val sourceType = RSFeedbackType()
371037a131fSWilliam Wang}
372037a131fSWilliam Wang
373*f06ca0bfSLingrui98class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle {
3745844fcf0SLinJiawei  // to backend end
3755844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
376*f06ca0bfSLingrui98  val fromFtq = new FtqToCtrlIO
3775844fcf0SLinJiawei  // from backend
378c778d2afSLinJiawei  val redirect_cfiUpdate = Flipped(ValidIO(new Redirect))
379*f06ca0bfSLingrui98  val toFtq = Flipped(new CtrlToFtqIO)
3801e3fad10SLinJiawei}
381fcff7e94SZhangZifei
3822225d46eSJiawei Linclass TlbCsrBundle(implicit p: Parameters) extends XSBundle {
383fcff7e94SZhangZifei  val satp = new Bundle {
384fcff7e94SZhangZifei    val mode = UInt(4.W) // TODO: may change number to parameter
385fcff7e94SZhangZifei    val asid = UInt(16.W)
386fcff7e94SZhangZifei    val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
387fcff7e94SZhangZifei  }
388fcff7e94SZhangZifei  val priv = new Bundle {
389fcff7e94SZhangZifei    val mxr = Bool()
390fcff7e94SZhangZifei    val sum = Bool()
391fcff7e94SZhangZifei    val imode = UInt(2.W)
392fcff7e94SZhangZifei    val dmode = UInt(2.W)
393fcff7e94SZhangZifei  }
3948fc4e859SZhangZifei
3958fc4e859SZhangZifei  override def toPrintable: Printable = {
3968fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
3978fc4e859SZhangZifei      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
3988fc4e859SZhangZifei  }
399fcff7e94SZhangZifei}
400fcff7e94SZhangZifei
4012225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle {
402fcff7e94SZhangZifei  val valid = Bool()
403fcff7e94SZhangZifei  val bits = new Bundle {
404fcff7e94SZhangZifei    val rs1 = Bool()
405fcff7e94SZhangZifei    val rs2 = Bool()
406fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
407fcff7e94SZhangZifei  }
4088fc4e859SZhangZifei
4098fc4e859SZhangZifei  override def toPrintable: Printable = {
4108fc4e859SZhangZifei    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
4118fc4e859SZhangZifei  }
412fcff7e94SZhangZifei}
413a165bd69Swangkaifan
414de169c67SWilliam Wang// Bundle for load violation predictor updating
415de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle  {
4162b8b2e7aSWilliam Wang  val valid = Bool()
417de169c67SWilliam Wang
418de169c67SWilliam Wang  // wait table update
419de169c67SWilliam Wang  val waddr = UInt(MemPredPCWidth.W)
4202b8b2e7aSWilliam Wang  val wdata = Bool() // true.B by default
421de169c67SWilliam Wang
422de169c67SWilliam Wang  // store set update
423de169c67SWilliam Wang  // by default, ldpc/stpc should be xor folded
424de169c67SWilliam Wang  val ldpc = UInt(MemPredPCWidth.W)
425de169c67SWilliam Wang  val stpc = UInt(MemPredPCWidth.W)
4262b8b2e7aSWilliam Wang}
4272b8b2e7aSWilliam Wang
4282225d46eSJiawei Linclass PerfInfoIO extends Bundle {
429b31c62abSwangkaifan  val clean = Input(Bool())
430b31c62abSwangkaifan  val dump = Input(Bool())
431b31c62abSwangkaifan}
4322b8b2e7aSWilliam Wang
4332225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle {
4342b8b2e7aSWilliam Wang  // Prefetcher
4352b8b2e7aSWilliam Wang  val l1plus_pf_enable = Output(Bool())
4362b8b2e7aSWilliam Wang  val l2_pf_enable = Output(Bool())
437f3f22d72SYinan Xu  // Labeled XiangShan
4382b8b2e7aSWilliam Wang  val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter
439f3f22d72SYinan Xu  // Load violation predictor
4402b8b2e7aSWilliam Wang  val lvpred_disable = Output(Bool())
4412b8b2e7aSWilliam Wang  val no_spec_load = Output(Bool())
4422b8b2e7aSWilliam Wang  val waittable_timeout = Output(UInt(5.W))
443f3f22d72SYinan Xu  // Branch predictor
4442b8b2e7aSWilliam Wang  val bp_ctrl = Output(new BPUCtrl)
445f3f22d72SYinan Xu  // Memory Block
446f3f22d72SYinan Xu  val sbuffer_threshold = Output(UInt(4.W))
447aac4464eSYinan Xu  // Rename
448aac4464eSYinan Xu  val move_elim_enable = Output(Bool())
4492b8b2e7aSWilliam Wang}
450