11e3fad10SLinJiaweipackage xiangshan 21e3fad10SLinJiawei 31e3fad10SLinJiaweiimport chisel3._ 45844fcf0SLinJiaweiimport chisel3.util._ 5*e402d94eSWilliam Wangimport bus.simplebus._ 61e3fad10SLinJiawei 75844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache 81e3fad10SLinJiaweiclass FetchPacket extends XSBundle { 91e3fad10SLinJiawei val instrs = Vec(FetchWidth, UInt(32.W)) 101e3fad10SLinJiawei val mask = UInt(FetchWidth.W) 111e3fad10SLinJiawei val pc = UInt(VAddrBits.W) // the pc of first inst in the fetch group 121e3fad10SLinJiawei} 131e3fad10SLinJiawei 145844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer 155844fcf0SLinJiaweiclass CtrlFlow extends XSBundle { 165844fcf0SLinJiawei val instr = UInt(32.W) 175844fcf0SLinJiawei val pc = UInt(VAddrBits.W) 185844fcf0SLinJiawei val exceptionVec = Vec(16, Bool()) 195844fcf0SLinJiawei val intrVec = Vec(12, Bool()) 209a2e6b8aSLinJiawei val isRVC = Bool() 219a2e6b8aSLinJiawei val isBr = Bool() 225844fcf0SLinJiawei} 235844fcf0SLinJiawei 245844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage 255844fcf0SLinJiaweiclass CtrlSignals extends XSBundle { 269a2e6b8aSLinJiawei val src1Type, src2Type, src3Type = SrcType() 279a2e6b8aSLinJiawei val lsrc1, lsrc2, lsrc3 = UInt(5.W) 289a2e6b8aSLinJiawei val ldest = UInt(5.W) 299a2e6b8aSLinJiawei val fuType = FuType() 309a2e6b8aSLinJiawei val fuOpType = FuOpType() 319a2e6b8aSLinJiawei val rfWen = Bool() 329a2e6b8aSLinJiawei val fpWen = Bool() 339a2e6b8aSLinJiawei val isXSTrap = Bool() 349a2e6b8aSLinJiawei val noSpecExec = Bool() // This inst can not be speculated 359a2e6b8aSLinJiawei val isBlocked = Bool() // This inst requires pipeline to be blocked 36db34a189SLinJiawei val isRVF = Bool() 37db34a189SLinJiawei val imm = UInt(XLEN.W) 385844fcf0SLinJiawei} 395844fcf0SLinJiawei 405844fcf0SLinJiaweiclass CfCtrl extends XSBundle { 415844fcf0SLinJiawei val cf = new CtrlFlow 425844fcf0SLinJiawei val ctrl = new CtrlSignals 439a2e6b8aSLinJiawei val brMask = UInt(BrqSize.W) 449a2e6b8aSLinJiawei val brTag = UInt(BrTagWidth.W) 455844fcf0SLinJiawei} 465844fcf0SLinJiawei 475844fcf0SLinJiawei// CfCtrl -> MicroOp at Rename Stage 485844fcf0SLinJiaweiclass MicroOp extends CfCtrl { 495844fcf0SLinJiawei 509a2e6b8aSLinJiawei val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W) 519a2e6b8aSLinJiawei val src1State, src2State, src3State = SrcState() 5254658d36SLinJiawei val freelistAllocPtr = UInt(PhyRegIdxWidth.W) 535844fcf0SLinJiawei val roqIdx = UInt(RoqIdxWidth.W) 545844fcf0SLinJiawei} 555844fcf0SLinJiawei 561e3fad10SLinJiaweiclass Redirect extends XSBundle { 571e3fad10SLinJiawei val target = UInt(VAddrBits.W) 585844fcf0SLinJiawei val brTag = UInt(BrTagWidth.W) 5937fcf7fbSLinJiawei val isException = Bool() 60c898bc97SWilliam Wang val roqIdx = UInt(ExtendedRoqIdxWidth.W) 61056d0086SLinJiawei val freelistAllocPtr = UInt((PhyRegIdxWidth+1).W) 625844fcf0SLinJiawei} 635844fcf0SLinJiawei 645844fcf0SLinJiaweiclass Dp1ToDp2IO extends XSBundle { 655844fcf0SLinJiawei val intDqToDp2 = Vec(IntDqDeqWidth, DecoupledIO(new MicroOp)) 665844fcf0SLinJiawei val fpDqToDp2 = Vec(FpDqDeqWidth, DecoupledIO(new MicroOp)) 675844fcf0SLinJiawei val lsDqToDp2 = Vec(LsDqDeqWidth, DecoupledIO(new MicroOp)) 685844fcf0SLinJiawei} 695844fcf0SLinJiawei 70*e402d94eSWilliam Wangclass DebugBundle extends XSBundle{ 71*e402d94eSWilliam Wang val isMMIO = Output(Bool()) 72*e402d94eSWilliam Wang} 735844fcf0SLinJiawei 745844fcf0SLinJiaweiclass ExuInput extends XSBundle { 755844fcf0SLinJiawei val uop = new MicroOp 765844fcf0SLinJiawei val src1, src2, src3 = UInt(XLEN.W) 775844fcf0SLinJiawei} 785844fcf0SLinJiawei 795844fcf0SLinJiaweiclass ExuOutput extends XSBundle { 805844fcf0SLinJiawei val uop = new MicroOp 815844fcf0SLinJiawei val data = UInt(XLEN.W) 82cc4cad5eSZhangZifei val redirect = Valid(new Redirect) 83*e402d94eSWilliam Wang val debug = new DebugBundle 845844fcf0SLinJiawei} 855844fcf0SLinJiawei 865844fcf0SLinJiaweiclass ExuIO extends XSBundle { 875844fcf0SLinJiawei val in = Flipped(DecoupledIO(new ExuInput)) 88c3174e61SZhangZifei val redirect = Flipped(ValidIO(new Redirect)) 895844fcf0SLinJiawei val out = DecoupledIO(new ExuOutput) 90*e402d94eSWilliam Wang 91*e402d94eSWilliam Wang // for Lsu 92*e402d94eSWilliam Wang val dmem = new SimpleBusUC 935844fcf0SLinJiawei} 945844fcf0SLinJiawei 955844fcf0SLinJiaweiclass RoqCommit extends XSBundle { 965844fcf0SLinJiawei val uop = new MicroOp 97296e7422SLinJiawei val isWalk = Bool() 985844fcf0SLinJiawei} 995844fcf0SLinJiawei 1005844fcf0SLinJiaweiclass FrontendToBackendIO extends XSBundle { 1015844fcf0SLinJiawei // to backend end 1025844fcf0SLinJiawei val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 1035844fcf0SLinJiawei // from backend 1045844fcf0SLinJiawei val redirect = Flipped(ValidIO(new Redirect)) 1055844fcf0SLinJiawei val commits = Vec(CommitWidth, Flipped(ValidIO(new RoqCommit))) // update branch pred 1061e3fad10SLinJiawei}