xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision d87b76aa1c8b3309689888cbb9025cead93e6dd8)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
171e3fad10SLinJiaweipackage xiangshan
181e3fad10SLinJiawei
191e3fad10SLinJiaweiimport chisel3._
205844fcf0SLinJiaweiimport chisel3.util._
219aca92b9SYinan Xuimport xiangshan.backend.rob.RobPtr
22f06ca0bfSLingrui98import xiangshan.backend.CtrlToFtqIO
23de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode}
245c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr}
2566b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo
26f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter
27f634c609SLingrui98import xiangshan.frontend.GlobalHistory
287447ee13SLingrui98import xiangshan.frontend.RASEntry
292b8b2e7aSWilliam Wangimport xiangshan.frontend.BPUCtrl
30e0d9a9f0SLingrui98import xiangshan.frontend.FtqPtr
31e0d9a9f0SLingrui98import xiangshan.frontend.FtqRead
32f06ca0bfSLingrui98import xiangshan.frontend.FtqToCtrlIO
33ceaf5e1fSLingrui98import utils._
34b0ae3ac4SLinJiawei
352fbdb79bSLingrui98import scala.math.max
36d471c5aeSLingrui98import Chisel.experimental.chiselName
372225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters
3888825c5cSYinan Xuimport chisel3.util.BitPat.bitPatToUInt
3914a6653fSLingrui98import xiangshan.frontend.Ftq_Redirect_SRAMEntry
401e3fad10SLinJiawei
41627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
423803411bSzhanglinjuan  val valid = Bool()
4335fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
44fe211d16SLinJiawei
45627c0a19Szhanglinjuan  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
463803411bSzhanglinjuan}
473803411bSzhanglinjuan
48627c0a19Szhanglinjuanobject ValidUndirectioned {
49627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
50627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
513803411bSzhanglinjuan  }
523803411bSzhanglinjuan}
533803411bSzhanglinjuan
541b7adedcSWilliam Wangobject RSFeedbackType {
551b7adedcSWilliam Wang  val tlbMiss = 0.U(2.W)
561b7adedcSWilliam Wang  val mshrFull = 1.U(2.W)
571b7adedcSWilliam Wang  val dataInvalid = 2.U(2.W)
58*d87b76aaSWilliam Wang  val bankConflict = 3.U(2.W)
591b7adedcSWilliam Wang
601b7adedcSWilliam Wang  def apply() = UInt(2.W)
611b7adedcSWilliam Wang}
621b7adedcSWilliam Wang
632225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle {
64097c2688SLingrui98  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
65097c2688SLingrui98  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
66097c2688SLingrui98  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
6751b2a476Szoujr}
6851b2a476Szoujr
692225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter {
70f226232fSzhanglinjuan  // from backend
7169cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
72f226232fSzhanglinjuan  // frontend -> backend -> frontend
73f226232fSzhanglinjuan  val pd = new PreDecodeInfo
748a5e9243SLinJiawei  val rasSp = UInt(log2Up(RasSize).W)
752e947747SLinJiawei  val rasEntry = new RASEntry
768a5e9243SLinJiawei  val hist = new GlobalHistory
77e690b0d3SLingrui98  val phist = UInt(PathHistoryLength.W)
78e7b046c5Szoujr  val specCnt = Vec(numBr, UInt(10.W))
795df4db2aSLingrui98  val phNewBit = Bool()
80fe3a74fcSYinan Xu  // need pipeline update
818a597714Szoujr  val br_hit = Bool()
822e947747SLinJiawei  val predTaken = Bool()
83b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
849a2e6b8aSLinJiawei  val taken = Bool()
85b2e6921eSLinJiawei  val isMisPred = Bool()
86d0527adfSzoujr  val shift = UInt((log2Ceil(numBr)+1).W)
87d0527adfSzoujr  val addIntoHist = Bool()
8814a6653fSLingrui98
8914a6653fSLingrui98  def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = {
9014a6653fSLingrui98    this.hist := entry.ghist
9114a6653fSLingrui98    this.phist := entry.phist
9214a6653fSLingrui98    this.phNewBit := entry.phNewBit
9314a6653fSLingrui98    this.rasSp := entry.rasSp
9414a6653fSLingrui98    this.rasEntry := entry.rasEntry
9514a6653fSLingrui98    this.specCnt := entry.specCnt
9614a6653fSLingrui98    this
9714a6653fSLingrui98  }
98b2e6921eSLinJiawei}
99b2e6921eSLinJiawei
1005844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
101de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle {
1025844fcf0SLinJiawei  val instr = UInt(32.W)
1035844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
104de169c67SWilliam Wang  val foldpc = UInt(MemPredPCWidth.W)
105baf8def6SYinan Xu  val exceptionVec = ExceptionVec()
1065844fcf0SLinJiawei  val intrVec = Vec(12, Bool())
107faf3cfa9SLinJiawei  val pd = new PreDecodeInfo
108cde9280dSLinJiawei  val pred_taken = Bool()
109c84054caSLinJiawei  val crossPageIPFFix = Bool()
110de169c67SWilliam Wang  val storeSetHit = Bool() // inst has been allocated an store set
1112b8b2e7aSWilliam Wang  val loadWaitBit = Bool() // load inst should not be executed until all former store addr calcuated
112de169c67SWilliam Wang  val ssid = UInt(SSIDWidth.W)
113884dbb3bSLinJiawei  val ftqPtr = new FtqPtr
114884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
1151f0e2dc7SJiawei Lin  // This inst will flush all the pipe when it is the oldest inst in ROB,
1161f0e2dc7SJiawei Lin  // then replay from this inst itself
1171f0e2dc7SJiawei Lin  val replayInst = Bool()
1185844fcf0SLinJiawei}
1195844fcf0SLinJiawei
1202225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle {
1212ce29ed6SLinJiawei  val isAddSub = Bool() // swap23
122dc597826SJiawei Lin  val typeTagIn = UInt(1.W)
123dc597826SJiawei Lin  val typeTagOut = UInt(1.W)
1242ce29ed6SLinJiawei  val fromInt = Bool()
1252ce29ed6SLinJiawei  val wflags = Bool()
1262ce29ed6SLinJiawei  val fpWen = Bool()
1272ce29ed6SLinJiawei  val fmaCmd = UInt(2.W)
1282ce29ed6SLinJiawei  val div = Bool()
1292ce29ed6SLinJiawei  val sqrt = Bool()
1302ce29ed6SLinJiawei  val fcvt = Bool()
1312ce29ed6SLinJiawei  val typ = UInt(2.W)
1322ce29ed6SLinJiawei  val fmt = UInt(2.W)
1332ce29ed6SLinJiawei  val ren3 = Bool() //TODO: remove SrcType.fp
134e6c6b64fSLinJiawei  val rm = UInt(3.W)
135579b9f28SLinJiawei}
136579b9f28SLinJiawei
1375844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
1382225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle {
13920e31bd1SYinan Xu  val srcType = Vec(3, SrcType())
14020e31bd1SYinan Xu  val lsrc = Vec(3, UInt(5.W))
1419a2e6b8aSLinJiawei  val ldest = UInt(5.W)
1429a2e6b8aSLinJiawei  val fuType = FuType()
1439a2e6b8aSLinJiawei  val fuOpType = FuOpType()
1449a2e6b8aSLinJiawei  val rfWen = Bool()
1459a2e6b8aSLinJiawei  val fpWen = Bool()
1469a2e6b8aSLinJiawei  val isXSTrap = Bool()
1472d366136SLinJiawei  val noSpecExec = Bool() // wait forward
1482d366136SLinJiawei  val blockBackward = Bool() // block backward
14945a56a29SZhangZifei  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
150db34a189SLinJiawei  val isRVF = Bool()
151c2a8ae00SYikeZhou  val selImm = SelImm()
152b0ae3ac4SLinJiawei  val imm = UInt(ImmUnion.maxLen.W)
153a3edac52SYinan Xu  val commitType = CommitType()
154579b9f28SLinJiawei  val fpu = new FPUCtrlSignals
155aac4464eSYinan Xu  val isMove = Bool()
156d4aca96cSlqre  val singleStep = Bool()
15788825c5cSYinan Xu  val isFused = UInt(3.W)
1583f4ec46fSCODE-JTZ  val isORI = Bool() //for softprefetch
1593f4ec46fSCODE-JTZ  val isSoftPrefetchRead = Bool() //for softprefetch
1603f4ec46fSCODE-JTZ  val isSoftPrefetchWrite = Bool() //for softprefetch
161c88c3a2aSYinan Xu  // This inst will flush all the pipe when it is the oldest inst in ROB,
162c88c3a2aSYinan Xu  // then replay from this inst itself
163c88c3a2aSYinan Xu  val replayInst = Bool()
164be25371aSYikeZhou
16588825c5cSYinan Xu  private def allSignals = srcType ++ Seq(fuType, fuOpType, rfWen, fpWen,
166c2a8ae00SYikeZhou    isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm)
16788825c5cSYinan Xu
16888825c5cSYinan Xu  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = {
16988825c5cSYinan Xu    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table)
17088825c5cSYinan Xu    allSignals zip decoder foreach { case (s, d) => s := d }
1714d24c305SYikeZhou    commitType := DontCare
172be25371aSYikeZhou    this
173be25371aSYikeZhou  }
17488825c5cSYinan Xu
17588825c5cSYinan Xu  def decode(bit: List[BitPat]): CtrlSignals = {
17688825c5cSYinan Xu    allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d }
17788825c5cSYinan Xu    this
17888825c5cSYinan Xu  }
1795844fcf0SLinJiawei}
1805844fcf0SLinJiawei
1812225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle {
1825844fcf0SLinJiawei  val cf = new CtrlFlow
1835844fcf0SLinJiawei  val ctrl = new CtrlSignals
1845844fcf0SLinJiawei}
1855844fcf0SLinJiawei
1862225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle {
1878b8e745dSYikeZhou  val eliminatedMove = Bool()
188ba4100caSYinan Xu  // val fetchTime = UInt(64.W)
189ebb8ebf8SYinan Xu  val renameTime = UInt(XLEN.W)
190ebb8ebf8SYinan Xu  val dispatchTime = UInt(XLEN.W)
191ebb8ebf8SYinan Xu  val enqRsTime = UInt(XLEN.W)
192ebb8ebf8SYinan Xu  val selectTime = UInt(XLEN.W)
193ebb8ebf8SYinan Xu  val issueTime = UInt(XLEN.W)
194ebb8ebf8SYinan Xu  val writebackTime = UInt(XLEN.W)
1957cef916fSYinan Xu  // val commitTime = UInt(64.W)
19620edb3f7SWilliam Wang  val runahead_checkpoint_id = UInt(64.W)
197ba4100caSYinan Xu}
198ba4100caSYinan Xu
19948d1472eSWilliam Wang// Separate LSQ
2002225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle {
201915c0dd4SYinan Xu  val lqIdx = new LqPtr
2025c1ae31bSYinan Xu  val sqIdx = new SqPtr
20324726fbfSWilliam Wang}
20424726fbfSWilliam Wang
205b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
2062225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl {
20720e31bd1SYinan Xu  val srcState = Vec(3, SrcState())
20820e31bd1SYinan Xu  val psrc = Vec(3, UInt(PhyRegIdxWidth.W))
20920e31bd1SYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
21020e31bd1SYinan Xu  val old_pdest = UInt(PhyRegIdxWidth.W)
2119aca92b9SYinan Xu  val robIdx = new RobPtr
212fe6452fcSYinan Xu  val lqIdx = new LqPtr
213fe6452fcSYinan Xu  val sqIdx = new SqPtr
214355fcd20SAllen  val diffTestDebugLrScValid = Bool()
2158b8e745dSYikeZhou  val eliminatedMove = Bool()
2167cef916fSYinan Xu  val debugInfo = new PerfDebugInfo
21783596a03SYinan Xu  def needRfRPort(index: Int, rfType: Int, ignoreState: Boolean = true) : Bool = {
218a338f247SYinan Xu    (index, rfType) match {
21920e31bd1SYinan Xu      case (0, 0) => ctrl.srcType(0) === SrcType.reg && ctrl.lsrc(0) =/= 0.U && (srcState(0) === SrcState.rdy || ignoreState.B)
22020e31bd1SYinan Xu      case (1, 0) => ctrl.srcType(1) === SrcType.reg && ctrl.lsrc(1) =/= 0.U && (srcState(1) === SrcState.rdy || ignoreState.B)
22120e31bd1SYinan Xu      case (0, 1) => ctrl.srcType(0) === SrcType.fp && (srcState(0) === SrcState.rdy || ignoreState.B)
22220e31bd1SYinan Xu      case (1, 1) => ctrl.srcType(1) === SrcType.fp && (srcState(1) === SrcState.rdy || ignoreState.B)
22320e31bd1SYinan Xu      case (2, 1) => ctrl.srcType(2) === SrcType.fp && (srcState(2) === SrcState.rdy || ignoreState.B)
224a338f247SYinan Xu      case _ => false.B
225a338f247SYinan Xu    }
226a338f247SYinan Xu  }
2275c7674feSYinan Xu  def srcIsReady: Vec[Bool] = {
228c9ebdf90SYinan Xu    VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy })
2295c7674feSYinan Xu  }
2305c7674feSYinan Xu  def doWriteIntRf: Bool = ctrl.rfWen && ctrl.ldest =/= 0.U
2315c7674feSYinan Xu  def doWriteFpRf: Bool = ctrl.fpWen
232c88c3a2aSYinan Xu  def clearExceptions(): MicroOp = {
233c88c3a2aSYinan Xu    cf.exceptionVec.map(_ := false.B)
234c88c3a2aSYinan Xu    ctrl.replayInst := false.B
235c88c3a2aSYinan Xu    ctrl.flushPipe := false.B
236c88c3a2aSYinan Xu    this
237c88c3a2aSYinan Xu  }
2385844fcf0SLinJiawei}
2395844fcf0SLinJiawei
240de169c67SWilliam Wangclass MicroOpRbExt(implicit p: Parameters) extends XSBundle {
241de169c67SWilliam Wang  val uop = new MicroOp
242de169c67SWilliam Wang  val flag = UInt(1.W)
243de169c67SWilliam Wang}
244de169c67SWilliam Wang
2452225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle {
2469aca92b9SYinan Xu  val robIdx = new RobPtr
24736d7aed5SLinJiawei  val ftqIdx = new FtqPtr
24836d7aed5SLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
249bfb958a3SYinan Xu  val level = RedirectLevel()
250bfb958a3SYinan Xu  val interrupt = Bool()
251c778d2afSLinJiawei  val cfiUpdate = new CfiUpdateInfo
252bfb958a3SYinan Xu
253de169c67SWilliam Wang  val stFtqIdx = new FtqPtr // for load violation predict
254de169c67SWilliam Wang  val stFtqOffset = UInt(log2Up(PredictWidth).W)
255fe211d16SLinJiawei
25620edb3f7SWilliam Wang  val debug_runahead_checkpoint_id = UInt(64.W)
25720edb3f7SWilliam Wang
2582d7c7105SYinan Xu  // def isUnconditional() = RedirectLevel.isUnconditional(level)
259bfb958a3SYinan Xu  def flushItself() = RedirectLevel.flushItself(level)
2602d7c7105SYinan Xu  // def isException() = RedirectLevel.isException(level)
261a25b1bceSLinJiawei}
262a25b1bceSLinJiawei
2632225d46eSJiawei Linclass Dp1ToDp2IO(implicit p: Parameters) extends XSBundle {
2645c7b21d5SYinan Xu  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
2655c7b21d5SYinan Xu  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
2665c7b21d5SYinan Xu  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
2675844fcf0SLinJiawei}
2685844fcf0SLinJiawei
2692b4e8253SYinan Xuclass ResetPregStateReq(implicit p: Parameters) extends XSBundle {
27060deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
27160deaca2SLinJiawei  val isInt = Bool()
27260deaca2SLinJiawei  val isFp = Bool()
27360deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
2745844fcf0SLinJiawei}
2755844fcf0SLinJiawei
2762225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle {
27772235fa4SWilliam Wang  val isMMIO = Bool()
2788635f18fSwangkaifan  val isPerfCnt = Bool()
2798b91a337SWilliam Wang  val paddr = UInt(PAddrBits.W)
280e402d94eSWilliam Wang}
2815844fcf0SLinJiawei
2822225d46eSJiawei Linclass ExuInput(implicit p: Parameters) extends XSBundle {
2835844fcf0SLinJiawei  val uop = new MicroOp
284dc597826SJiawei Lin  val src = Vec(3, UInt(XLEN.W))
2855844fcf0SLinJiawei}
2865844fcf0SLinJiawei
2872225d46eSJiawei Linclass ExuOutput(implicit p: Parameters) extends XSBundle {
2885844fcf0SLinJiawei  val uop = new MicroOp
289dc597826SJiawei Lin  val data = UInt(XLEN.W)
2907f1506e3SLinJiawei  val fflags = UInt(5.W)
29197cfa7f8SLinJiawei  val redirectValid = Bool()
29297cfa7f8SLinJiawei  val redirect = new Redirect
293e402d94eSWilliam Wang  val debug = new DebugBundle
2945844fcf0SLinJiawei}
2955844fcf0SLinJiawei
2962225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle {
29735bfeecbSYinan Xu  val mtip = Input(Bool())
29835bfeecbSYinan Xu  val msip = Input(Bool())
29935bfeecbSYinan Xu  val meip = Input(Bool())
300d4aca96cSlqre  val debug = Input(Bool())
3015844fcf0SLinJiawei}
3025844fcf0SLinJiawei
3032225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle {
30435bfeecbSYinan Xu  val exception = Flipped(ValidIO(new MicroOp))
3053fa7b737SYinan Xu  val isInterrupt = Input(Bool())
30635bfeecbSYinan Xu  val memExceptionVAddr = Input(UInt(VAddrBits.W))
30735bfeecbSYinan Xu  val trapTarget = Output(UInt(VAddrBits.W))
30835bfeecbSYinan Xu  val externalInterrupt = new ExternalInterruptIO
30935bfeecbSYinan Xu  val interrupt = Output(Bool())
31035bfeecbSYinan Xu}
31135bfeecbSYinan Xu
3122225d46eSJiawei Linclass ExceptionInfo(implicit p: Parameters) extends XSBundle {
3133a474d38SYinan Xu  val uop = new MicroOp
3143a474d38SYinan Xu  val isInterrupt = Bool()
3153a474d38SYinan Xu}
3163a474d38SYinan Xu
3179aca92b9SYinan Xuclass RobCommitInfo(implicit p: Parameters) extends XSBundle {
318fe6452fcSYinan Xu  val ldest = UInt(5.W)
319fe6452fcSYinan Xu  val rfWen = Bool()
320fe6452fcSYinan Xu  val fpWen = Bool()
321a1fd7de4SLinJiawei  val wflags = Bool()
322fe6452fcSYinan Xu  val commitType = CommitType()
3238b8e745dSYikeZhou  val eliminatedMove = Bool()
324fe6452fcSYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
325fe6452fcSYinan Xu  val old_pdest = UInt(PhyRegIdxWidth.W)
326884dbb3bSLinJiawei  val ftqIdx = new FtqPtr
327884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
32888825c5cSYinan Xu  val isFused = UInt(3.W)
3295844fcf0SLinJiawei
3309ecac1e8SYinan Xu  // these should be optimized for synthesis verilog
3319ecac1e8SYinan Xu  val pc = UInt(VAddrBits.W)
332fe6452fcSYinan Xu}
3335844fcf0SLinJiawei
3349aca92b9SYinan Xuclass RobCommitIO(implicit p: Parameters) extends XSBundle {
33521e7a6c5SYinan Xu  val isWalk = Output(Bool())
33621e7a6c5SYinan Xu  val valid = Vec(CommitWidth, Output(Bool()))
3379aca92b9SYinan Xu  val info = Vec(CommitWidth, Output(new RobCommitInfo))
33821e7a6c5SYinan Xu
33921e7a6c5SYinan Xu  def hasWalkInstr = isWalk && valid.asUInt.orR
340fe211d16SLinJiawei
34121e7a6c5SYinan Xu  def hasCommitInstr = !isWalk && valid.asUInt.orR
3425844fcf0SLinJiawei}
3435844fcf0SLinJiawei
3441b7adedcSWilliam Wangclass RSFeedback(implicit p: Parameters) extends XSBundle {
34564e8d8bdSZhangZifei  val rsIdx = UInt(log2Up(IssQueSize).W)
346037a131fSWilliam Wang  val hit = Bool()
34762f57a35SLemover  val flushState = Bool()
3481b7adedcSWilliam Wang  val sourceType = RSFeedbackType()
349037a131fSWilliam Wang}
350037a131fSWilliam Wang
351*d87b76aaSWilliam Wangclass MemRSFeedbackIO(implicit p: Parameters) extends XSBundle {
352*d87b76aaSWilliam Wang  // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO
353*d87b76aaSWilliam Wang  // for instance: MemRSFeedbackIO()(updateP)
354*d87b76aaSWilliam Wang  val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss
355*d87b76aaSWilliam Wang  val feedbackFast = ValidIO(new RSFeedback()) // bank conflict
356*d87b76aaSWilliam Wang  val rsIdx = Input(UInt(log2Up(IssQueSize).W))
357*d87b76aaSWilliam Wang  val isFirstIssue = Input(Bool())
358*d87b76aaSWilliam Wang}
359*d87b76aaSWilliam Wang
360f06ca0bfSLingrui98class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle {
3615844fcf0SLinJiawei  // to backend end
3625844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
363f06ca0bfSLingrui98  val fromFtq = new FtqToCtrlIO
3645844fcf0SLinJiawei  // from backend
365f06ca0bfSLingrui98  val toFtq = Flipped(new CtrlToFtqIO)
3661e3fad10SLinJiawei}
367fcff7e94SZhangZifei
3682225d46eSJiawei Linclass TlbCsrBundle(implicit p: Parameters) extends XSBundle {
369fcff7e94SZhangZifei  val satp = new Bundle {
370fcff7e94SZhangZifei    val mode = UInt(4.W) // TODO: may change number to parameter
371fcff7e94SZhangZifei    val asid = UInt(16.W)
372fcff7e94SZhangZifei    val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
373fcff7e94SZhangZifei  }
374fcff7e94SZhangZifei  val priv = new Bundle {
375fcff7e94SZhangZifei    val mxr = Bool()
376fcff7e94SZhangZifei    val sum = Bool()
377fcff7e94SZhangZifei    val imode = UInt(2.W)
378fcff7e94SZhangZifei    val dmode = UInt(2.W)
379fcff7e94SZhangZifei  }
3808fc4e859SZhangZifei
3818fc4e859SZhangZifei  override def toPrintable: Printable = {
3828fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
3838fc4e859SZhangZifei      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
3848fc4e859SZhangZifei  }
385fcff7e94SZhangZifei}
386fcff7e94SZhangZifei
3872225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle {
388fcff7e94SZhangZifei  val valid = Bool()
389fcff7e94SZhangZifei  val bits = new Bundle {
390fcff7e94SZhangZifei    val rs1 = Bool()
391fcff7e94SZhangZifei    val rs2 = Bool()
392fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
393fcff7e94SZhangZifei  }
3948fc4e859SZhangZifei
3958fc4e859SZhangZifei  override def toPrintable: Printable = {
3968fc4e859SZhangZifei    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
3978fc4e859SZhangZifei  }
398fcff7e94SZhangZifei}
399a165bd69Swangkaifan
400de169c67SWilliam Wang// Bundle for load violation predictor updating
401de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle  {
4022b8b2e7aSWilliam Wang  val valid = Bool()
403de169c67SWilliam Wang
404de169c67SWilliam Wang  // wait table update
405de169c67SWilliam Wang  val waddr = UInt(MemPredPCWidth.W)
4062b8b2e7aSWilliam Wang  val wdata = Bool() // true.B by default
407de169c67SWilliam Wang
408de169c67SWilliam Wang  // store set update
409de169c67SWilliam Wang  // by default, ldpc/stpc should be xor folded
410de169c67SWilliam Wang  val ldpc = UInt(MemPredPCWidth.W)
411de169c67SWilliam Wang  val stpc = UInt(MemPredPCWidth.W)
4122b8b2e7aSWilliam Wang}
4132b8b2e7aSWilliam Wang
4142225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle {
4152b8b2e7aSWilliam Wang  // Prefetcher
4162b8b2e7aSWilliam Wang  val l1plus_pf_enable = Output(Bool())
4172b8b2e7aSWilliam Wang  val l2_pf_enable = Output(Bool())
418f3f22d72SYinan Xu  // Labeled XiangShan
4192b8b2e7aSWilliam Wang  val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter
420f3f22d72SYinan Xu  // Load violation predictor
4212b8b2e7aSWilliam Wang  val lvpred_disable = Output(Bool())
4222b8b2e7aSWilliam Wang  val no_spec_load = Output(Bool())
4232b8b2e7aSWilliam Wang  val waittable_timeout = Output(UInt(5.W))
424f3f22d72SYinan Xu  // Branch predictor
4252b8b2e7aSWilliam Wang  val bp_ctrl = Output(new BPUCtrl)
426f3f22d72SYinan Xu  // Memory Block
427f3f22d72SYinan Xu  val sbuffer_threshold = Output(UInt(4.W))
428aac4464eSYinan Xu  // Rename
429aac4464eSYinan Xu  val move_elim_enable = Output(Bool())
4302b8b2e7aSWilliam Wang}
431