xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision d4aca96cccdcdafa80dd344996e18d1978a01af7)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
171e3fad10SLinJiaweipackage xiangshan
181e3fad10SLinJiawei
191e3fad10SLinJiaweiimport chisel3._
205844fcf0SLinJiaweiimport chisel3.util._
2142707b3bSYinan Xuimport xiangshan.backend.roq.RoqPtr
22de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode}
235c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr}
24bbfca13aSzoujrimport xiangshan.frontend.PreDecodeInfoForDebug
2566b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo
26f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter
272b8b2e7aSWilliam Wangimport xiangshan.frontend.PreDecodeInfo
28f3501106SGouLingruiimport xiangshan.frontend.HasTageParameter
29a58f4119SLingrui98import xiangshan.frontend.HasSCParameter
30ceaf5e1fSLingrui98import xiangshan.frontend.HasIFUConst
31f634c609SLingrui98import xiangshan.frontend.GlobalHistory
327447ee13SLingrui98import xiangshan.frontend.RASEntry
332b8b2e7aSWilliam Wangimport xiangshan.frontend.BPUCtrl
34ceaf5e1fSLingrui98import utils._
35b0ae3ac4SLinJiawei
362fbdb79bSLingrui98import scala.math.max
37d471c5aeSLingrui98import Chisel.experimental.chiselName
382225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters
39884dbb3bSLinJiaweiimport xiangshan.backend.ftq.FtqPtr
401e3fad10SLinJiawei
415844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache
42de169c67SWilliam Wangclass FetchPacket(implicit p: Parameters) extends XSBundle {
4328958354Szhanglinjuan  val instrs = Vec(PredictWidth, UInt(32.W))
4428958354Szhanglinjuan  val mask = UInt(PredictWidth.W)
454ec80874Szoujr  val pdmask = UInt(PredictWidth.W)
4642696a74Szhanglinjuan  // val pc = UInt(VAddrBits.W)
4742696a74Szhanglinjuan  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
48de169c67SWilliam Wang  val foldpc = Vec(PredictWidth, UInt(MemPredPCWidth.W))
49a428082bSLinJiawei  val pd = Vec(PredictWidth, new PreDecodeInfo)
505a67e465Szhanglinjuan  val ipf = Bool()
517e6acce3Sjinyue110  val acf = Bool()
525a67e465Szhanglinjuan  val crossPageIPFFix = Bool()
53744c623cSLingrui98  val pred_taken = UInt(PredictWidth.W)
54744c623cSLingrui98  val ftqPtr = new FtqPtr
551e3fad10SLinJiawei}
561e3fad10SLinJiawei
57627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
583803411bSzhanglinjuan  val valid = Bool()
5935fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
60fe211d16SLinJiawei
61627c0a19Szhanglinjuan  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
623803411bSzhanglinjuan}
633803411bSzhanglinjuan
64627c0a19Szhanglinjuanobject ValidUndirectioned {
65627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
66627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
673803411bSzhanglinjuan  }
683803411bSzhanglinjuan}
693803411bSzhanglinjuan
701b7adedcSWilliam Wangobject RSFeedbackType {
711b7adedcSWilliam Wang  val tlbMiss = 0.U(2.W)
721b7adedcSWilliam Wang  val mshrFull = 1.U(2.W)
731b7adedcSWilliam Wang  val dataInvalid = 2.U(2.W)
741b7adedcSWilliam Wang
751b7adedcSWilliam Wang  def apply() = UInt(2.W)
761b7adedcSWilliam Wang}
771b7adedcSWilliam Wang
782225d46eSJiawei Linclass SCMeta(val useSC: Boolean)(implicit p: Parameters) extends XSBundle with HasSCParameter {
792fbdb79bSLingrui98  val tageTaken = if (useSC) Bool() else UInt(0.W)
802fbdb79bSLingrui98  val scUsed = if (useSC) Bool() else UInt(0.W)
812fbdb79bSLingrui98  val scPred = if (useSC) Bool() else UInt(0.W)
822fbdb79bSLingrui98  // Suppose ctrbits of all tables are identical
832fbdb79bSLingrui98  val ctrs = if (useSC) Vec(SCNTables, SInt(SCCtrBits.W)) else Vec(SCNTables, SInt(0.W))
842fbdb79bSLingrui98}
852fbdb79bSLingrui98
862225d46eSJiawei Linclass TageMeta(implicit p: Parameters) extends XSBundle with HasTageParameter {
87627c0a19Szhanglinjuan  val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
881e7d14a8Szhanglinjuan  val altDiffers = Bool()
891e7d14a8Szhanglinjuan  val providerU = UInt(2.W)
901e7d14a8Szhanglinjuan  val providerCtr = UInt(3.W)
91627c0a19Szhanglinjuan  val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
926b98bdcbSLingrui98  val taken = Bool()
932fbdb79bSLingrui98  val scMeta = new SCMeta(EnableSC)
941e7d14a8Szhanglinjuan}
951e7d14a8Szhanglinjuan
96d471c5aeSLingrui98@chiselName
972225d46eSJiawei Linclass BranchPrediction(implicit p: Parameters) extends XSBundle with HasIFUConst {
98ceaf5e1fSLingrui98  // val redirect = Bool()
99ceaf5e1fSLingrui98  val takens = UInt(PredictWidth.W)
100ceaf5e1fSLingrui98  // val jmpIdx = UInt(log2Up(PredictWidth).W)
101ceaf5e1fSLingrui98  val brMask = UInt(PredictWidth.W)
102ceaf5e1fSLingrui98  val jalMask = UInt(PredictWidth.W)
103ceaf5e1fSLingrui98  val targets = Vec(PredictWidth, UInt(VAddrBits.W))
104ceaf5e1fSLingrui98
105576af497SLingrui98  // half RVI could only start at the end of a packet
106576af497SLingrui98  val hasHalfRVI = Bool()
107ceaf5e1fSLingrui98
108d42f3562SLingrui98  def brNotTakens = (~takens & brMask)
109ceaf5e1fSLingrui98
110ceaf5e1fSLingrui98  def sawNotTakenBr = VecInit((0 until PredictWidth).map(i =>
11144ff7871SLingrui98    (if (i == 0) false.B else ParallelORR(brNotTakens(i - 1, 0)))))
112fe211d16SLinJiawei
113818ec9f9SLingrui98  // if not taken before the half RVI inst
114576af497SLingrui98  def saveHalfRVI = hasHalfRVI && !(ParallelORR(takens(PredictWidth - 2, 0)))
115fe211d16SLinJiawei
116ceaf5e1fSLingrui98  // could get PredictWidth-1 when only the first bank is valid
117d42f3562SLingrui98  def jmpIdx = ParallelPriorityEncoder(takens)
118fe211d16SLinJiawei
119ceaf5e1fSLingrui98  // only used when taken
120c0c378b3SLingrui98  def target = {
121c0c378b3SLingrui98    val generator = new PriorityMuxGenerator[UInt]
122d42f3562SLingrui98    generator.register(takens.asBools, targets, List.fill(PredictWidth)(None))
123c0c378b3SLingrui98    generator()
124c0c378b3SLingrui98  }
125fe211d16SLinJiawei
126d42f3562SLingrui98  def taken = ParallelORR(takens)
127fe211d16SLinJiawei
128d42f3562SLingrui98  def takenOnBr = taken && ParallelPriorityMux(takens, brMask.asBools)
129fe211d16SLinJiawei
130d42f3562SLingrui98  def hasNotTakenBrs = Mux(taken, ParallelPriorityMux(takens, sawNotTakenBr), ParallelORR(brNotTakens))
13166b0d0c3Szhanglinjuan}
13266b0d0c3Szhanglinjuan
1332225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle {
134097c2688SLingrui98  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
135097c2688SLingrui98  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
136097c2688SLingrui98  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
13751b2a476Szoujr}
13851b2a476Szoujr
1392225d46eSJiawei Linclass BpuMeta(implicit p: Parameters) extends XSBundle with HasBPUParameter {
14053bf6077SLingrui98  val btbWriteWay = UInt(log2Up(BtbWays).W)
1418f6a1237SSteve Gou  val btbHit = Bool()
142e3aeae54SLingrui98  val bimCtr = UInt(2.W)
143f226232fSzhanglinjuan  val tageMeta = new TageMeta
144f634c609SLingrui98  // for global history
145f226232fSzhanglinjuan
1463a48285bSGouLingrui  val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
1473a48285bSGouLingrui  val debug_btb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
1483a48285bSGouLingrui  val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
149ec776fa0SLingrui98
1507d793c5aSzoujr  val predictor = if (BPUDebug) UInt(log2Up(4).W) else UInt(0.W) // Mark which component this prediction comes from {ubtb, btb, tage, loopPredictor}
1517d793c5aSzoujr
1528f6a1237SSteve Gou  val ubtbHit = if (BPUDebug) UInt(1.W) else UInt(0.W)
1538f6a1237SSteve Gou
15451b2a476Szoujr  val ubtbAns = new PredictorAnswer
15551b2a476Szoujr  val btbAns = new PredictorAnswer
15651b2a476Szoujr  val tageAns = new PredictorAnswer
15751b2a476Szoujr  val rasAns = new PredictorAnswer
15851b2a476Szoujr  val loopAns = new PredictorAnswer
15951b2a476Szoujr
160f634c609SLingrui98  // def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = {
161f634c609SLingrui98  //   this.histPtr := histPtr
162f634c609SLingrui98  //   this.tageMeta := tageMeta
163f634c609SLingrui98  //   this.rasSp := rasSp
164f634c609SLingrui98  //   this.rasTopCtr := rasTopCtr
165f634c609SLingrui98  //   this.asUInt
166f634c609SLingrui98  // }
167f226232fSzhanglinjuan  def size = 0.U.asTypeOf(this).getWidth
168fe211d16SLinJiawei
169f226232fSzhanglinjuan  def fromUInt(x: UInt) = x.asTypeOf(this)
17066b0d0c3Szhanglinjuan}
17166b0d0c3Szhanglinjuan
1722225d46eSJiawei Linclass Predecode(implicit p: Parameters) extends XSBundle with HasIFUConst {
173ceaf5e1fSLingrui98  val hasLastHalfRVI = Bool()
1746215f044SLingrui98  val mask = UInt(PredictWidth.W)
175576af497SLingrui98  val lastHalf = Bool()
1766215f044SLingrui98  val pd = Vec(PredictWidth, (new PreDecodeInfo))
1776fb61704Szhanglinjuan}
1786fb61704Szhanglinjuan
1792225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter {
180f226232fSzhanglinjuan  // from backend
18169cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
182f226232fSzhanglinjuan  // frontend -> backend -> frontend
183f226232fSzhanglinjuan  val pd = new PreDecodeInfo
1848a5e9243SLinJiawei  val rasSp = UInt(log2Up(RasSize).W)
1852e947747SLinJiawei  val rasEntry = new RASEntry
1868a5e9243SLinJiawei  val hist = new GlobalHistory
1878a5e9243SLinJiawei  val predHist = new GlobalHistory
188f6fc1a05Szoujr  val specCnt = Vec(PredictWidth, UInt(10.W))
189fe3a74fcSYinan Xu  // need pipeline update
1902e947747SLinJiawei  val sawNotTakenBranch = Bool()
1912e947747SLinJiawei  val predTaken = Bool()
192b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
1939a2e6b8aSLinJiawei  val taken = Bool()
194b2e6921eSLinJiawei  val isMisPred = Bool()
195b2e6921eSLinJiawei}
196b2e6921eSLinJiawei
1975844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
198de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle {
1995844fcf0SLinJiawei  val instr = UInt(32.W)
2005844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
201de169c67SWilliam Wang  val foldpc = UInt(MemPredPCWidth.W)
202baf8def6SYinan Xu  val exceptionVec = ExceptionVec()
2035844fcf0SLinJiawei  val intrVec = Vec(12, Bool())
204faf3cfa9SLinJiawei  val pd = new PreDecodeInfo
205cde9280dSLinJiawei  val pred_taken = Bool()
206c84054caSLinJiawei  val crossPageIPFFix = Bool()
207de169c67SWilliam Wang  val storeSetHit = Bool() // inst has been allocated an store set
2082b8b2e7aSWilliam Wang  val loadWaitBit = Bool() // load inst should not be executed until all former store addr calcuated
209de169c67SWilliam Wang  val ssid = UInt(SSIDWidth.W)
210884dbb3bSLinJiawei  val ftqPtr = new FtqPtr
211884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
2125844fcf0SLinJiawei}
2135844fcf0SLinJiawei
2142225d46eSJiawei Linclass FtqEntry(implicit p: Parameters) extends XSBundle {
215ec778fd0SLingrui98  // fetch pc, pc of each inst could be generated by concatenation
2161670d147SLingrui98  val ftqPC = UInt(VAddrBits.W)
2171670d147SLingrui98  val lastPacketPC = ValidUndirectioned(UInt(VAddrBits.W))
218ec778fd0SLingrui98  // prediction metas
219ec778fd0SLingrui98  val hist = new GlobalHistory
220ec778fd0SLingrui98  val predHist = new GlobalHistory
221ec778fd0SLingrui98  val rasSp = UInt(log2Ceil(RasSize).W)
222ec778fd0SLingrui98  val rasTop = new RASEntry()
223744c623cSLingrui98  val specCnt = Vec(PredictWidth, UInt(10.W))
224ec778fd0SLingrui98  val metas = Vec(PredictWidth, new BpuMeta)
225ec778fd0SLingrui98
2268f6a1237SSteve Gou  val cfiIsCall, cfiIsRet, cfiIsJalr, cfiIsRVC = Bool()
227744c623cSLingrui98  val rvc_mask = Vec(PredictWidth, Bool())
228b97160feSLinJiawei  val br_mask = Vec(PredictWidth, Bool())
229b97160feSLinJiawei  val cfiIndex = ValidUndirectioned(UInt(log2Up(PredictWidth).W))
230b97160feSLinJiawei  val valids = Vec(PredictWidth, Bool())
231ec778fd0SLingrui98
232c778d2afSLinJiawei  // backend update
233c778d2afSLinJiawei  val mispred = Vec(PredictWidth, Bool())
234148ba860SLinJiawei  val target = UInt(VAddrBits.W)
235744c623cSLingrui98
2360ca50dbbSzoujr  // For perf counters
237bbfca13aSzoujr  val pd = Vec(PredictWidth, new PreDecodeInfoForDebug(!env.FPGAPlatform))
2380ca50dbbSzoujr
239744c623cSLingrui98  def takens = VecInit((0 until PredictWidth).map(i => cfiIndex.valid && cfiIndex.bits === i.U))
2401670d147SLingrui98  def hasLastPrev = lastPacketPC.valid
241fe211d16SLinJiawei
242fe211d16SLinJiawei  override def toPrintable: Printable = {
2431670d147SLingrui98    p"ftqPC: ${Hexadecimal(ftqPC)} lastPacketPC: ${Hexadecimal(lastPacketPC.bits)} hasLastPrev:$hasLastPrev " +
24448dc7634SLinJiawei      p"rasSp:$rasSp specCnt:$specCnt brmask:${Binary(Cat(br_mask))} rvcmask:${Binary(Cat(rvc_mask))} " +
24548dc7634SLinJiawei      p"valids:${Binary(valids.asUInt())} cfi valid: ${cfiIndex.valid} " +
2468f6a1237SSteve Gou      p"cfi index: ${cfiIndex.bits} isCall:$cfiIsCall isRet:$cfiIsRet isJalr:$cfiIsJalr, isRvc:$cfiIsRVC " +
24748dc7634SLinJiawei      p"mispred:${Binary(Cat(mispred))} target:${Hexadecimal(target)}\n"
248ec778fd0SLingrui98  }
249ec778fd0SLingrui98
2505844fcf0SLinJiawei}
2515844fcf0SLinJiawei
252579b9f28SLinJiawei
2532225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle {
2542ce29ed6SLinJiawei  val isAddSub = Bool() // swap23
2552ce29ed6SLinJiawei  val typeTagIn = UInt(2.W)
2562ce29ed6SLinJiawei  val typeTagOut = UInt(2.W)
2572ce29ed6SLinJiawei  val fromInt = Bool()
2582ce29ed6SLinJiawei  val wflags = Bool()
2592ce29ed6SLinJiawei  val fpWen = Bool()
2602ce29ed6SLinJiawei  val fmaCmd = UInt(2.W)
2612ce29ed6SLinJiawei  val div = Bool()
2622ce29ed6SLinJiawei  val sqrt = Bool()
2632ce29ed6SLinJiawei  val fcvt = Bool()
2642ce29ed6SLinJiawei  val typ = UInt(2.W)
2652ce29ed6SLinJiawei  val fmt = UInt(2.W)
2662ce29ed6SLinJiawei  val ren3 = Bool() //TODO: remove SrcType.fp
267e6c6b64fSLinJiawei  val rm = UInt(3.W)
268579b9f28SLinJiawei}
269579b9f28SLinJiawei
2705844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
2712225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle {
27220e31bd1SYinan Xu  val srcType = Vec(3, SrcType())
27320e31bd1SYinan Xu  val lsrc = Vec(3, UInt(5.W))
2749a2e6b8aSLinJiawei  val ldest = UInt(5.W)
2759a2e6b8aSLinJiawei  val fuType = FuType()
2769a2e6b8aSLinJiawei  val fuOpType = FuOpType()
2779a2e6b8aSLinJiawei  val rfWen = Bool()
2789a2e6b8aSLinJiawei  val fpWen = Bool()
2799a2e6b8aSLinJiawei  val isXSTrap = Bool()
2802d366136SLinJiawei  val noSpecExec = Bool() // wait forward
2812d366136SLinJiawei  val blockBackward = Bool() // block backward
28245a56a29SZhangZifei  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
283db34a189SLinJiawei  val isRVF = Bool()
284c2a8ae00SYikeZhou  val selImm = SelImm()
285b0ae3ac4SLinJiawei  val imm = UInt(ImmUnion.maxLen.W)
286a3edac52SYinan Xu  val commitType = CommitType()
287579b9f28SLinJiawei  val fpu = new FPUCtrlSignals
288aac4464eSYinan Xu  val isMove = Bool()
289*d4aca96cSlqre  val singleStep = Bool()
290be25371aSYikeZhou
291be25371aSYikeZhou  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]) = {
292be25371aSYikeZhou    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table)
293be25371aSYikeZhou    val signals =
29420e31bd1SYinan Xu      Seq(srcType(0), srcType(1), srcType(2), fuType, fuOpType, rfWen, fpWen,
295c2a8ae00SYikeZhou        isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm)
296be25371aSYikeZhou    signals zip decoder map { case (s, d) => s := d }
2974d24c305SYikeZhou    commitType := DontCare
298be25371aSYikeZhou    this
299be25371aSYikeZhou  }
3005844fcf0SLinJiawei}
3015844fcf0SLinJiawei
3022225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle {
3035844fcf0SLinJiawei  val cf = new CtrlFlow
3045844fcf0SLinJiawei  val ctrl = new CtrlSignals
3055844fcf0SLinJiawei}
3065844fcf0SLinJiawei
3072225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle {
308aac4464eSYinan Xu  val src1MoveElim = Bool()
309aac4464eSYinan Xu  val src2MoveElim = Bool()
310ba4100caSYinan Xu  // val fetchTime = UInt(64.W)
311ba4100caSYinan Xu  val renameTime = UInt(64.W)
3127cef916fSYinan Xu  val dispatchTime = UInt(64.W)
313ba4100caSYinan Xu  val issueTime = UInt(64.W)
314ba4100caSYinan Xu  val writebackTime = UInt(64.W)
3157cef916fSYinan Xu  // val commitTime = UInt(64.W)
316ba4100caSYinan Xu}
317ba4100caSYinan Xu
31848d1472eSWilliam Wang// Separate LSQ
3192225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle {
320915c0dd4SYinan Xu  val lqIdx = new LqPtr
3215c1ae31bSYinan Xu  val sqIdx = new SqPtr
32224726fbfSWilliam Wang}
32324726fbfSWilliam Wang
324b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
3252225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl {
32620e31bd1SYinan Xu  val srcState = Vec(3, SrcState())
32720e31bd1SYinan Xu  val psrc = Vec(3, UInt(PhyRegIdxWidth.W))
32820e31bd1SYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
32920e31bd1SYinan Xu  val old_pdest = UInt(PhyRegIdxWidth.W)
33042707b3bSYinan Xu  val roqIdx = new RoqPtr
331fe6452fcSYinan Xu  val lqIdx = new LqPtr
332fe6452fcSYinan Xu  val sqIdx = new SqPtr
333355fcd20SAllen  val diffTestDebugLrScValid = Bool()
3347cef916fSYinan Xu  val debugInfo = new PerfDebugInfo
33583596a03SYinan Xu  def needRfRPort(index: Int, rfType: Int, ignoreState: Boolean = true) : Bool = {
336a338f247SYinan Xu    (index, rfType) match {
33720e31bd1SYinan Xu      case (0, 0) => ctrl.srcType(0) === SrcType.reg && ctrl.lsrc(0) =/= 0.U && (srcState(0) === SrcState.rdy || ignoreState.B)
33820e31bd1SYinan Xu      case (1, 0) => ctrl.srcType(1) === SrcType.reg && ctrl.lsrc(1) =/= 0.U && (srcState(1) === SrcState.rdy || ignoreState.B)
33920e31bd1SYinan Xu      case (0, 1) => ctrl.srcType(0) === SrcType.fp && (srcState(0) === SrcState.rdy || ignoreState.B)
34020e31bd1SYinan Xu      case (1, 1) => ctrl.srcType(1) === SrcType.fp && (srcState(1) === SrcState.rdy || ignoreState.B)
34120e31bd1SYinan Xu      case (2, 1) => ctrl.srcType(2) === SrcType.fp && (srcState(2) === SrcState.rdy || ignoreState.B)
342a338f247SYinan Xu      case _ => false.B
343a338f247SYinan Xu    }
344a338f247SYinan Xu  }
3455c7674feSYinan Xu  def srcIsReady: Vec[Bool] = {
3465c7674feSYinan Xu    VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcImm(t) || s === SrcState.rdy })
3475c7674feSYinan Xu  }
3485c7674feSYinan Xu  def doWriteIntRf: Bool = ctrl.rfWen && ctrl.ldest =/= 0.U
3495c7674feSYinan Xu  def doWriteFpRf: Bool = ctrl.fpWen
3505844fcf0SLinJiawei}
3515844fcf0SLinJiawei
352de169c67SWilliam Wangclass MicroOpRbExt(implicit p: Parameters) extends XSBundle {
353de169c67SWilliam Wang  val uop = new MicroOp
354de169c67SWilliam Wang  val flag = UInt(1.W)
355de169c67SWilliam Wang}
356de169c67SWilliam Wang
3572225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle {
35842707b3bSYinan Xu  val roqIdx = new RoqPtr
35936d7aed5SLinJiawei  val ftqIdx = new FtqPtr
36036d7aed5SLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
361bfb958a3SYinan Xu  val level = RedirectLevel()
362bfb958a3SYinan Xu  val interrupt = Bool()
363c778d2afSLinJiawei  val cfiUpdate = new CfiUpdateInfo
364bfb958a3SYinan Xu
365de169c67SWilliam Wang  val stFtqIdx = new FtqPtr // for load violation predict
366de169c67SWilliam Wang  val stFtqOffset = UInt(log2Up(PredictWidth).W)
367fe211d16SLinJiawei
3682d7c7105SYinan Xu  // def isUnconditional() = RedirectLevel.isUnconditional(level)
369bfb958a3SYinan Xu  def flushItself() = RedirectLevel.flushItself(level)
3702d7c7105SYinan Xu  // def isException() = RedirectLevel.isException(level)
371a25b1bceSLinJiawei}
372a25b1bceSLinJiawei
3732225d46eSJiawei Linclass Dp1ToDp2IO(implicit p: Parameters) extends XSBundle {
3745c7b21d5SYinan Xu  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
3755c7b21d5SYinan Xu  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
3765c7b21d5SYinan Xu  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
3775844fcf0SLinJiawei}
3785844fcf0SLinJiawei
3792225d46eSJiawei Linclass ReplayPregReq(implicit p: Parameters) extends XSBundle {
38060deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
38160deaca2SLinJiawei  val isInt = Bool()
38260deaca2SLinJiawei  val isFp = Bool()
38360deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
3845844fcf0SLinJiawei}
3855844fcf0SLinJiawei
3862225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle {
38772235fa4SWilliam Wang  val isMMIO = Bool()
3888635f18fSwangkaifan  val isPerfCnt = Bool()
3898b91a337SWilliam Wang  val paddr = UInt(PAddrBits.W)
390e402d94eSWilliam Wang}
3915844fcf0SLinJiawei
3922225d46eSJiawei Linclass ExuInput(implicit p: Parameters) extends XSBundle {
3935844fcf0SLinJiawei  val uop = new MicroOp
3942bd5334dSYinan Xu  val src = Vec(3, UInt((XLEN + 1).W))
3955844fcf0SLinJiawei}
3965844fcf0SLinJiawei
3972225d46eSJiawei Linclass ExuOutput(implicit p: Parameters) extends XSBundle {
3985844fcf0SLinJiawei  val uop = new MicroOp
3999684eb4fSLinJiawei  val data = UInt((XLEN + 1).W)
4007f1506e3SLinJiawei  val fflags = UInt(5.W)
40197cfa7f8SLinJiawei  val redirectValid = Bool()
40297cfa7f8SLinJiawei  val redirect = new Redirect
403e402d94eSWilliam Wang  val debug = new DebugBundle
4045844fcf0SLinJiawei}
4055844fcf0SLinJiawei
4062225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle {
40735bfeecbSYinan Xu  val mtip = Input(Bool())
40835bfeecbSYinan Xu  val msip = Input(Bool())
40935bfeecbSYinan Xu  val meip = Input(Bool())
410*d4aca96cSlqre  val debug = Input(Bool())
4115844fcf0SLinJiawei}
4125844fcf0SLinJiawei
4132225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle {
41435bfeecbSYinan Xu  val exception = Flipped(ValidIO(new MicroOp))
4153fa7b737SYinan Xu  val isInterrupt = Input(Bool())
41635bfeecbSYinan Xu  val memExceptionVAddr = Input(UInt(VAddrBits.W))
41735bfeecbSYinan Xu  val trapTarget = Output(UInt(VAddrBits.W))
41835bfeecbSYinan Xu  val externalInterrupt = new ExternalInterruptIO
41935bfeecbSYinan Xu  val interrupt = Output(Bool())
42035bfeecbSYinan Xu}
42135bfeecbSYinan Xu
4222225d46eSJiawei Linclass ExceptionInfo(implicit p: Parameters) extends XSBundle {
4233a474d38SYinan Xu  val uop = new MicroOp
4243a474d38SYinan Xu  val isInterrupt = Bool()
4253a474d38SYinan Xu}
4263a474d38SYinan Xu
4272225d46eSJiawei Linclass RoqCommitInfo(implicit p: Parameters) extends XSBundle {
428fe6452fcSYinan Xu  val ldest = UInt(5.W)
429fe6452fcSYinan Xu  val rfWen = Bool()
430fe6452fcSYinan Xu  val fpWen = Bool()
431a1fd7de4SLinJiawei  val wflags = Bool()
432fe6452fcSYinan Xu  val commitType = CommitType()
433fe6452fcSYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
434fe6452fcSYinan Xu  val old_pdest = UInt(PhyRegIdxWidth.W)
435884dbb3bSLinJiawei  val ftqIdx = new FtqPtr
436884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
4375844fcf0SLinJiawei
4389ecac1e8SYinan Xu  // these should be optimized for synthesis verilog
4399ecac1e8SYinan Xu  val pc = UInt(VAddrBits.W)
440fe6452fcSYinan Xu}
4415844fcf0SLinJiawei
4422225d46eSJiawei Linclass RoqCommitIO(implicit p: Parameters) extends XSBundle {
44321e7a6c5SYinan Xu  val isWalk = Output(Bool())
44421e7a6c5SYinan Xu  val valid = Vec(CommitWidth, Output(Bool()))
445fe6452fcSYinan Xu  val info = Vec(CommitWidth, Output(new RoqCommitInfo))
44621e7a6c5SYinan Xu
44721e7a6c5SYinan Xu  def hasWalkInstr = isWalk && valid.asUInt.orR
448fe211d16SLinJiawei
44921e7a6c5SYinan Xu  def hasCommitInstr = !isWalk && valid.asUInt.orR
4505844fcf0SLinJiawei}
4515844fcf0SLinJiawei
4521b7adedcSWilliam Wangclass RSFeedback(implicit p: Parameters) extends XSBundle {
45364e8d8bdSZhangZifei  val rsIdx = UInt(log2Up(IssQueSize).W)
454037a131fSWilliam Wang  val hit = Bool()
45562f57a35SLemover  val flushState = Bool()
4561b7adedcSWilliam Wang  val sourceType = RSFeedbackType()
457037a131fSWilliam Wang}
458037a131fSWilliam Wang
4592225d46eSJiawei Linclass FrontendToBackendIO(implicit p: Parameters) extends XSBundle {
4605844fcf0SLinJiawei  // to backend end
4615844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
4628a5e9243SLinJiawei  val fetchInfo = DecoupledIO(new FtqEntry)
4635844fcf0SLinJiawei  // from backend
464c778d2afSLinJiawei  val redirect_cfiUpdate = Flipped(ValidIO(new Redirect))
465c778d2afSLinJiawei  val commit_cfiUpdate = Flipped(ValidIO(new FtqEntry))
466fc4776e4SLinJiawei  val ftqEnqPtr = Input(new FtqPtr)
467fc4776e4SLinJiawei  val ftqLeftOne = Input(Bool())
4681e3fad10SLinJiawei}
469fcff7e94SZhangZifei
4702225d46eSJiawei Linclass TlbCsrBundle(implicit p: Parameters) extends XSBundle {
471fcff7e94SZhangZifei  val satp = new Bundle {
472fcff7e94SZhangZifei    val mode = UInt(4.W) // TODO: may change number to parameter
473fcff7e94SZhangZifei    val asid = UInt(16.W)
474fcff7e94SZhangZifei    val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
475fcff7e94SZhangZifei  }
476fcff7e94SZhangZifei  val priv = new Bundle {
477fcff7e94SZhangZifei    val mxr = Bool()
478fcff7e94SZhangZifei    val sum = Bool()
479fcff7e94SZhangZifei    val imode = UInt(2.W)
480fcff7e94SZhangZifei    val dmode = UInt(2.W)
481fcff7e94SZhangZifei  }
4828fc4e859SZhangZifei
4838fc4e859SZhangZifei  override def toPrintable: Printable = {
4848fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
4858fc4e859SZhangZifei      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
4868fc4e859SZhangZifei  }
487fcff7e94SZhangZifei}
488fcff7e94SZhangZifei
4892225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle {
490fcff7e94SZhangZifei  val valid = Bool()
491fcff7e94SZhangZifei  val bits = new Bundle {
492fcff7e94SZhangZifei    val rs1 = Bool()
493fcff7e94SZhangZifei    val rs2 = Bool()
494fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
495fcff7e94SZhangZifei  }
4968fc4e859SZhangZifei
4978fc4e859SZhangZifei  override def toPrintable: Printable = {
4988fc4e859SZhangZifei    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
4998fc4e859SZhangZifei  }
500fcff7e94SZhangZifei}
501a165bd69Swangkaifan
502de169c67SWilliam Wang// Bundle for load violation predictor updating
503de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle  {
5042b8b2e7aSWilliam Wang  val valid = Bool()
505de169c67SWilliam Wang
506de169c67SWilliam Wang  // wait table update
507de169c67SWilliam Wang  val waddr = UInt(MemPredPCWidth.W)
5082b8b2e7aSWilliam Wang  val wdata = Bool() // true.B by default
509de169c67SWilliam Wang
510de169c67SWilliam Wang  // store set update
511de169c67SWilliam Wang  // by default, ldpc/stpc should be xor folded
512de169c67SWilliam Wang  val ldpc = UInt(MemPredPCWidth.W)
513de169c67SWilliam Wang  val stpc = UInt(MemPredPCWidth.W)
5142b8b2e7aSWilliam Wang}
5152b8b2e7aSWilliam Wang
5162225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle {
5172b8b2e7aSWilliam Wang  // Prefetcher
5182b8b2e7aSWilliam Wang  val l1plus_pf_enable = Output(Bool())
5192b8b2e7aSWilliam Wang  val l2_pf_enable = Output(Bool())
520f3f22d72SYinan Xu  // Labeled XiangShan
5212b8b2e7aSWilliam Wang  val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter
522f3f22d72SYinan Xu  // Load violation predictor
5232b8b2e7aSWilliam Wang  val lvpred_disable = Output(Bool())
5242b8b2e7aSWilliam Wang  val no_spec_load = Output(Bool())
5252b8b2e7aSWilliam Wang  val waittable_timeout = Output(UInt(5.W))
526f3f22d72SYinan Xu  // Branch predictor
5272b8b2e7aSWilliam Wang  val bp_ctrl = Output(new BPUCtrl)
528f3f22d72SYinan Xu  // Memory Block
529f3f22d72SYinan Xu  val sbuffer_threshold = Output(UInt(4.W))
530aac4464eSYinan Xu  // Rename
531aac4464eSYinan Xu  val move_elim_enable = Output(Bool())
5322b8b2e7aSWilliam Wang}
533