1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 171e3fad10SLinJiaweipackage xiangshan 181e3fad10SLinJiawei 191e3fad10SLinJiaweiimport chisel3._ 205844fcf0SLinJiaweiimport chisel3.util._ 219aca92b9SYinan Xuimport xiangshan.backend.rob.RobPtr 22f06ca0bfSLingrui98import xiangshan.backend.CtrlToFtqIO 23de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode} 245c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr} 2566b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo 26f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter 27bcce877bSYinan Xuimport xiangshan.frontend.{AllFoldedHistories, CircularGlobalHistory, GlobalHistory, ShiftingGlobalHistory} 287447ee13SLingrui98import xiangshan.frontend.RASEntry 292b8b2e7aSWilliam Wangimport xiangshan.frontend.BPUCtrl 30e0d9a9f0SLingrui98import xiangshan.frontend.FtqPtr 31c2ad24ebSLingrui98import xiangshan.frontend.CGHPtr 32e0d9a9f0SLingrui98import xiangshan.frontend.FtqRead 33f06ca0bfSLingrui98import xiangshan.frontend.FtqToCtrlIO 34b9e121dfShappy-lximport xiangshan.cache.HasDCacheParameters 35ceaf5e1fSLingrui98import utils._ 363c02ee8fSwakafaimport utility._ 37b0ae3ac4SLinJiawei 382fbdb79bSLingrui98import scala.math.max 398891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 4088825c5cSYinan Xuimport chisel3.util.BitPat.bitPatToUInt 41bcce877bSYinan Xuimport xiangshan.backend.exu.ExuConfig 42b6982e83SLemoverimport xiangshan.backend.fu.PMPEntry 4314a6653fSLingrui98import xiangshan.frontend.Ftq_Redirect_SRAMEntry 44dd6c0695SLingrui98import xiangshan.frontend.AllFoldedHistories 4567402d75SLingrui98import xiangshan.frontend.AllAheadFoldedHistoryOldestBits 46c89b4642SGuokai Chenimport xiangshan.frontend.RASPtr 471e3fad10SLinJiawei 48627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle { 493803411bSzhanglinjuan val valid = Bool() 5035fe60e8SLingrui98 val bits = gen.cloneType.asInstanceOf[T] 51fe211d16SLinJiawei 523803411bSzhanglinjuan} 533803411bSzhanglinjuan 54627c0a19Szhanglinjuanobject ValidUndirectioned { 55627c0a19Szhanglinjuan def apply[T <: Data](gen: T) = { 56627c0a19Szhanglinjuan new ValidUndirectioned[T](gen) 573803411bSzhanglinjuan } 583803411bSzhanglinjuan} 593803411bSzhanglinjuan 601b7adedcSWilliam Wangobject RSFeedbackType { 61e4f69d78Ssfencevma val lrqFull = 0.U(3.W) 62e4f69d78Ssfencevma val tlbMiss = 1.U(3.W) 63e4f69d78Ssfencevma val mshrFull = 2.U(3.W) 64e4f69d78Ssfencevma val dataInvalid = 3.U(3.W) 65e4f69d78Ssfencevma val bankConflict = 4.U(3.W) 66e4f69d78Ssfencevma val ldVioCheckRedo = 5.U(3.W) 67eb163ef0SHaojin Tang val feedbackInvalid = 7.U(3.W) 68eb163ef0SHaojin Tang 69e4f69d78Ssfencevma val allTypes = 8 7067682d05SWilliam Wang def apply() = UInt(3.W) 711b7adedcSWilliam Wang} 721b7adedcSWilliam Wang 732225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle { 74097c2688SLingrui98 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 75097c2688SLingrui98 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 76097c2688SLingrui98 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 7751b2a476Szoujr} 7851b2a476Szoujr 792225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 80f226232fSzhanglinjuan // from backend 8169cafcc9SLingrui98 val pc = UInt(VAddrBits.W) 82f226232fSzhanglinjuan // frontend -> backend -> frontend 83f226232fSzhanglinjuan val pd = new PreDecodeInfo 84c89b4642SGuokai Chen val ssp = UInt(log2Up(RasSize).W) 85c89b4642SGuokai Chen val sctr = UInt(log2Up(RasCtrSize).W) 86c89b4642SGuokai Chen val TOSW = new RASPtr 87c89b4642SGuokai Chen val TOSR = new RASPtr 88c89b4642SGuokai Chen val NOS = new RASPtr 89c89b4642SGuokai Chen val topAddr = UInt(VAddrBits.W) 90c2ad24ebSLingrui98 // val hist = new ShiftingGlobalHistory 91dd6c0695SLingrui98 val folded_hist = new AllFoldedHistories(foldedGHistInfos) 9267402d75SLingrui98 val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos) 9367402d75SLingrui98 val lastBrNumOH = UInt((numBr+1).W) 94b37e4b45SLingrui98 val ghr = UInt(UbtbGHRLength.W) 95c2ad24ebSLingrui98 val histPtr = new CGHPtr 96e7b046c5Szoujr val specCnt = Vec(numBr, UInt(10.W)) 97fe3a74fcSYinan Xu // need pipeline update 98d2b20d1aSTang Haojin val br_hit = Bool() // if in ftb entry 99d2b20d1aSTang Haojin val jr_hit = Bool() // if in ftb entry 100d2b20d1aSTang Haojin val sc_hit = Bool() // if used in ftb entry, invalid if !br_hit 1012e947747SLinJiawei val predTaken = Bool() 102b2e6921eSLinJiawei val target = UInt(VAddrBits.W) 1039a2e6b8aSLinJiawei val taken = Bool() 104b2e6921eSLinJiawei val isMisPred = Bool() 105d0527adfSzoujr val shift = UInt((log2Ceil(numBr)+1).W) 106d0527adfSzoujr val addIntoHist = Bool() 10714a6653fSLingrui98 10814a6653fSLingrui98 def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = { 109c2ad24ebSLingrui98 // this.hist := entry.ghist 110dd6c0695SLingrui98 this.folded_hist := entry.folded_hist 11167402d75SLingrui98 this.lastBrNumOH := entry.lastBrNumOH 11267402d75SLingrui98 this.afhob := entry.afhob 113c2ad24ebSLingrui98 this.histPtr := entry.histPtr 114c89b4642SGuokai Chen this.ssp := entry.ssp 115c89b4642SGuokai Chen this.sctr := entry.sctr 116c89b4642SGuokai Chen this.TOSW := entry.TOSW 117c89b4642SGuokai Chen this.TOSR := entry.TOSR 118c89b4642SGuokai Chen this.NOS := entry.NOS 119c89b4642SGuokai Chen this.topAddr := entry.topAddr 12014a6653fSLingrui98 this 12114a6653fSLingrui98 } 122b2e6921eSLinJiawei} 123b2e6921eSLinJiawei 1245844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer 125de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle { 1265844fcf0SLinJiawei val instr = UInt(32.W) 1275844fcf0SLinJiawei val pc = UInt(VAddrBits.W) 128*d0de7e4aSpeixiaokun val gpaddr = UInt(GPAddrBits.W) 129de169c67SWilliam Wang val foldpc = UInt(MemPredPCWidth.W) 130baf8def6SYinan Xu val exceptionVec = ExceptionVec() 13172951335SLi Qianruo val trigger = new TriggerCf 132faf3cfa9SLinJiawei val pd = new PreDecodeInfo 133cde9280dSLinJiawei val pred_taken = Bool() 134c84054caSLinJiawei val crossPageIPFFix = Bool() 135de169c67SWilliam Wang val storeSetHit = Bool() // inst has been allocated an store set 136980c1bc3SWilliam Wang val waitForRobIdx = new RobPtr // store set predicted previous store robIdx 137d1fe0262SWilliam Wang // Load wait is needed 138d1fe0262SWilliam Wang // load inst will not be executed until former store (predicted by mdp) addr calcuated 139d1fe0262SWilliam Wang val loadWaitBit = Bool() 140d1fe0262SWilliam Wang // If (loadWaitBit && loadWaitStrict), strict load wait is needed 141d1fe0262SWilliam Wang // load inst will not be executed until ALL former store addr calcuated 142d1fe0262SWilliam Wang val loadWaitStrict = Bool() 143de169c67SWilliam Wang val ssid = UInt(SSIDWidth.W) 144884dbb3bSLinJiawei val ftqPtr = new FtqPtr 145884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 1465844fcf0SLinJiawei} 1475844fcf0SLinJiawei 14872951335SLi Qianruo 1492225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 1502ce29ed6SLinJiawei val isAddSub = Bool() // swap23 151dc597826SJiawei Lin val typeTagIn = UInt(1.W) 152dc597826SJiawei Lin val typeTagOut = UInt(1.W) 1532ce29ed6SLinJiawei val fromInt = Bool() 1542ce29ed6SLinJiawei val wflags = Bool() 1552ce29ed6SLinJiawei val fpWen = Bool() 1562ce29ed6SLinJiawei val fmaCmd = UInt(2.W) 1572ce29ed6SLinJiawei val div = Bool() 1582ce29ed6SLinJiawei val sqrt = Bool() 1592ce29ed6SLinJiawei val fcvt = Bool() 1602ce29ed6SLinJiawei val typ = UInt(2.W) 1612ce29ed6SLinJiawei val fmt = UInt(2.W) 1622ce29ed6SLinJiawei val ren3 = Bool() //TODO: remove SrcType.fp 163e6c6b64fSLinJiawei val rm = UInt(3.W) 164579b9f28SLinJiawei} 165579b9f28SLinJiawei 1665844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage 1672225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle { 1688744445eSMaxpicca-Li val debug_globalID = UInt(XLEN.W) 16920e31bd1SYinan Xu val srcType = Vec(3, SrcType()) 17020e31bd1SYinan Xu val lsrc = Vec(3, UInt(5.W)) 1719a2e6b8aSLinJiawei val ldest = UInt(5.W) 1729a2e6b8aSLinJiawei val fuType = FuType() 1739a2e6b8aSLinJiawei val fuOpType = FuOpType() 1749a2e6b8aSLinJiawei val rfWen = Bool() 1759a2e6b8aSLinJiawei val fpWen = Bool() 1769a2e6b8aSLinJiawei val isXSTrap = Bool() 1772d366136SLinJiawei val noSpecExec = Bool() // wait forward 1782d366136SLinJiawei val blockBackward = Bool() // block backward 17945a56a29SZhangZifei val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 180c2a8ae00SYikeZhou val selImm = SelImm() 181b0ae3ac4SLinJiawei val imm = UInt(ImmUnion.maxLen.W) 182a3edac52SYinan Xu val commitType = CommitType() 183579b9f28SLinJiawei val fpu = new FPUCtrlSignals 184aac4464eSYinan Xu val isMove = Bool() 185d4aca96cSlqre val singleStep = Bool() 186c88c3a2aSYinan Xu // This inst will flush all the pipe when it is the oldest inst in ROB, 187c88c3a2aSYinan Xu // then replay from this inst itself 188c88c3a2aSYinan Xu val replayInst = Bool() 189be25371aSYikeZhou 19088825c5cSYinan Xu private def allSignals = srcType ++ Seq(fuType, fuOpType, rfWen, fpWen, 1916e7c9679Shuxuan0307 isXSTrap, noSpecExec, blockBackward, flushPipe, selImm) 19288825c5cSYinan Xu 19388825c5cSYinan Xu def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = { 19488825c5cSYinan Xu val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table) 19588825c5cSYinan Xu allSignals zip decoder foreach { case (s, d) => s := d } 1964d24c305SYikeZhou commitType := DontCare 197be25371aSYikeZhou this 198be25371aSYikeZhou } 19988825c5cSYinan Xu 20088825c5cSYinan Xu def decode(bit: List[BitPat]): CtrlSignals = { 20188825c5cSYinan Xu allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d } 20288825c5cSYinan Xu this 20388825c5cSYinan Xu } 204b6900d94SYinan Xu 205b6900d94SYinan Xu def isWFI: Bool = fuType === FuType.csr && fuOpType === CSROpType.wfi 206f025d715SYinan Xu def isSoftPrefetch: Bool = { 207f025d715SYinan Xu fuType === FuType.alu && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U 208f025d715SYinan Xu } 209*d0de7e4aSpeixiaokun def isHyperInst: Bool = { 210*d0de7e4aSpeixiaokun fuType === FuType.ldu && LSUOpType.isHlv(fuOpType) || fuType === FuType.stu && LSUOpType.isHsv(fuOpType) 211*d0de7e4aSpeixiaokun } 2125844fcf0SLinJiawei} 2135844fcf0SLinJiawei 2142225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle { 2155844fcf0SLinJiawei val cf = new CtrlFlow 2165844fcf0SLinJiawei val ctrl = new CtrlSignals 2175844fcf0SLinJiawei} 2185844fcf0SLinJiawei 2192225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle { 2208b8e745dSYikeZhou val eliminatedMove = Bool() 2218744445eSMaxpicca-Li // val fetchTime = UInt(XLEN.W) 222ebb8ebf8SYinan Xu val renameTime = UInt(XLEN.W) 223ebb8ebf8SYinan Xu val dispatchTime = UInt(XLEN.W) 224ebb8ebf8SYinan Xu val enqRsTime = UInt(XLEN.W) 225ebb8ebf8SYinan Xu val selectTime = UInt(XLEN.W) 226ebb8ebf8SYinan Xu val issueTime = UInt(XLEN.W) 227ebb8ebf8SYinan Xu val writebackTime = UInt(XLEN.W) 2288744445eSMaxpicca-Li // val commitTime = UInt(XLEN.W) 2298744445eSMaxpicca-Li val runahead_checkpoint_id = UInt(XLEN.W) 2308744445eSMaxpicca-Li val tlbFirstReqTime = UInt(XLEN.W) 2318744445eSMaxpicca-Li val tlbRespTime = UInt(XLEN.W) // when getting hit result (including delay in L2TLB hit) 232ba4100caSYinan Xu} 233ba4100caSYinan Xu 23448d1472eSWilliam Wang// Separate LSQ 2352225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle { 236915c0dd4SYinan Xu val lqIdx = new LqPtr 2375c1ae31bSYinan Xu val sqIdx = new SqPtr 23824726fbfSWilliam Wang} 23924726fbfSWilliam Wang 240b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage 2412225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl { 24220e31bd1SYinan Xu val srcState = Vec(3, SrcState()) 24320e31bd1SYinan Xu val psrc = Vec(3, UInt(PhyRegIdxWidth.W)) 24420e31bd1SYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 2459aca92b9SYinan Xu val robIdx = new RobPtr 246fe6452fcSYinan Xu val lqIdx = new LqPtr 247fe6452fcSYinan Xu val sqIdx = new SqPtr 2488b8e745dSYikeZhou val eliminatedMove = Bool() 249fa7f2c26STang Haojin val snapshot = Bool() 2507cef916fSYinan Xu val debugInfo = new PerfDebugInfo 2519d4e1137SYinan Xu def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = { 252bcce877bSYinan Xu val stateReady = srcState(index) === SrcState.rdy || ignoreState.B 253bcce877bSYinan Xu val readReg = if (isFp) { 254bcce877bSYinan Xu ctrl.srcType(index) === SrcType.fp 255bcce877bSYinan Xu } else { 256bcce877bSYinan Xu ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U 257a338f247SYinan Xu } 258bcce877bSYinan Xu readReg && stateReady 259a338f247SYinan Xu } 2605c7674feSYinan Xu def srcIsReady: Vec[Bool] = { 261c9ebdf90SYinan Xu VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy }) 2625c7674feSYinan Xu } 2636ab6918fSYinan Xu def clearExceptions( 2646ab6918fSYinan Xu exceptionBits: Seq[Int] = Seq(), 2656ab6918fSYinan Xu flushPipe: Boolean = false, 2666ab6918fSYinan Xu replayInst: Boolean = false 2676ab6918fSYinan Xu ): MicroOp = { 2686ab6918fSYinan Xu cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B) 2696ab6918fSYinan Xu if (!flushPipe) { ctrl.flushPipe := false.B } 2706ab6918fSYinan Xu if (!replayInst) { ctrl.replayInst := false.B } 271c88c3a2aSYinan Xu this 272c88c3a2aSYinan Xu } 273a19215ddSYinan Xu // Assume only the LUI instruction is decoded with IMM_U in ALU. 274a19215ddSYinan Xu def isLUI: Bool = ctrl.selImm === SelImm.IMM_U && ctrl.fuType === FuType.alu 275bcce877bSYinan Xu // This MicroOp is used to wakeup another uop (the successor: (psrc, srcType). 276bcce877bSYinan Xu def wakeup(successor: Seq[(UInt, UInt)], exuCfg: ExuConfig): Seq[(Bool, Bool)] = { 277bcce877bSYinan Xu successor.map{ case (src, srcType) => 278bcce877bSYinan Xu val pdestMatch = pdest === src 279bcce877bSYinan Xu // For state: no need to check whether src is x0/imm/pc because they are always ready. 280bcce877bSYinan Xu val rfStateMatch = if (exuCfg.readIntRf) ctrl.rfWen else false.B 281bcce877bSYinan Xu val fpMatch = if (exuCfg.readFpRf) ctrl.fpWen else false.B 282bcce877bSYinan Xu val bothIntFp = exuCfg.readIntRf && exuCfg.readFpRf 283bcce877bSYinan Xu val bothStateMatch = Mux(SrcType.regIsFp(srcType), fpMatch, rfStateMatch) 284bcce877bSYinan Xu val stateCond = pdestMatch && (if (bothIntFp) bothStateMatch else rfStateMatch || fpMatch) 285bcce877bSYinan Xu // For data: types are matched and int pdest is not $zero. 286bcce877bSYinan Xu val rfDataMatch = if (exuCfg.readIntRf) ctrl.rfWen && src =/= 0.U else false.B 287bcce877bSYinan Xu val dataCond = pdestMatch && (rfDataMatch && SrcType.isReg(srcType) || fpMatch && SrcType.isFp(srcType)) 288bcce877bSYinan Xu (stateCond, dataCond) 289bcce877bSYinan Xu } 290bcce877bSYinan Xu } 291bcce877bSYinan Xu // This MicroOp is used to wakeup another uop (the successor: MicroOp). 292bcce877bSYinan Xu def wakeup(successor: MicroOp, exuCfg: ExuConfig): Seq[(Bool, Bool)] = { 293bcce877bSYinan Xu wakeup(successor.psrc.zip(successor.ctrl.srcType), exuCfg) 294bcce877bSYinan Xu } 29574515c5aSYinan Xu def isJump: Bool = FuType.isJumpExu(ctrl.fuType) 2965844fcf0SLinJiawei} 2975844fcf0SLinJiawei 29846f74b57SHaojin Tangclass XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle { 299de169c67SWilliam Wang val uop = new MicroOp 30046f74b57SHaojin Tang} 30146f74b57SHaojin Tang 30246f74b57SHaojin Tangclass MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp { 303de169c67SWilliam Wang val flag = UInt(1.W) 304de169c67SWilliam Wang} 305de169c67SWilliam Wang 3062225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle { 30714a67055Ssfencevma val isRVC = Bool() 3089aca92b9SYinan Xu val robIdx = new RobPtr 30936d7aed5SLinJiawei val ftqIdx = new FtqPtr 31036d7aed5SLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 311bfb958a3SYinan Xu val level = RedirectLevel() 312bfb958a3SYinan Xu val interrupt = Bool() 313c778d2afSLinJiawei val cfiUpdate = new CfiUpdateInfo 314bfb958a3SYinan Xu 315de169c67SWilliam Wang val stFtqIdx = new FtqPtr // for load violation predict 316de169c67SWilliam Wang val stFtqOffset = UInt(log2Up(PredictWidth).W) 317fe211d16SLinJiawei 31820edb3f7SWilliam Wang val debug_runahead_checkpoint_id = UInt(64.W) 319d2b20d1aSTang Haojin val debugIsCtrl = Bool() 320d2b20d1aSTang Haojin val debugIsMemVio = Bool() 32120edb3f7SWilliam Wang 3222d7c7105SYinan Xu // def isUnconditional() = RedirectLevel.isUnconditional(level) 323bfb958a3SYinan Xu def flushItself() = RedirectLevel.flushItself(level) 3242d7c7105SYinan Xu // def isException() = RedirectLevel.isException(level) 325a25b1bceSLinJiawei} 326a25b1bceSLinJiawei 3272225d46eSJiawei Linclass Dp1ToDp2IO(implicit p: Parameters) extends XSBundle { 3285c7b21d5SYinan Xu val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 3295c7b21d5SYinan Xu val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp)) 3305c7b21d5SYinan Xu val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp)) 3315844fcf0SLinJiawei} 3325844fcf0SLinJiawei 3332b4e8253SYinan Xuclass ResetPregStateReq(implicit p: Parameters) extends XSBundle { 33460deaca2SLinJiawei // NOTE: set isInt and isFp both to 'false' when invalid 33560deaca2SLinJiawei val isInt = Bool() 33660deaca2SLinJiawei val isFp = Bool() 33760deaca2SLinJiawei val preg = UInt(PhyRegIdxWidth.W) 3385844fcf0SLinJiawei} 3395844fcf0SLinJiawei 3402225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle { 34172235fa4SWilliam Wang val isMMIO = Bool() 3428635f18fSwangkaifan val isPerfCnt = Bool() 3438b91a337SWilliam Wang val paddr = UInt(PAddrBits.W) 34472951335SLi Qianruo val vaddr = UInt(VAddrBits.W) 3458744445eSMaxpicca-Li /* add L/S inst info in EXU */ 3468744445eSMaxpicca-Li // val L1toL2TlbLatency = UInt(XLEN.W) 3478744445eSMaxpicca-Li // val levelTlbHit = UInt(2.W) 348e402d94eSWilliam Wang} 3495844fcf0SLinJiawei 35046f74b57SHaojin Tangclass ExuInput(implicit p: Parameters) extends XSBundleWithMicroOp { 351dc597826SJiawei Lin val src = Vec(3, UInt(XLEN.W)) 3525844fcf0SLinJiawei} 3535844fcf0SLinJiawei 35446f74b57SHaojin Tangclass ExuOutput(implicit p: Parameters) extends XSBundleWithMicroOp { 355dc597826SJiawei Lin val data = UInt(XLEN.W) 3567f1506e3SLinJiawei val fflags = UInt(5.W) 35797cfa7f8SLinJiawei val redirectValid = Bool() 35897cfa7f8SLinJiawei val redirect = new Redirect 359e402d94eSWilliam Wang val debug = new DebugBundle 3605844fcf0SLinJiawei} 3615844fcf0SLinJiawei 3622225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 36335bfeecbSYinan Xu val mtip = Input(Bool()) 36435bfeecbSYinan Xu val msip = Input(Bool()) 36535bfeecbSYinan Xu val meip = Input(Bool()) 366b3d79b37SYinan Xu val seip = Input(Bool()) 367d4aca96cSlqre val debug = Input(Bool()) 3685844fcf0SLinJiawei} 3695844fcf0SLinJiawei 3702225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle { 37135bfeecbSYinan Xu val exception = Flipped(ValidIO(new MicroOp)) 3723fa7b737SYinan Xu val isInterrupt = Input(Bool()) 37335bfeecbSYinan Xu val memExceptionVAddr = Input(UInt(VAddrBits.W)) 37435bfeecbSYinan Xu val trapTarget = Output(UInt(VAddrBits.W)) 37535bfeecbSYinan Xu val externalInterrupt = new ExternalInterruptIO 37635bfeecbSYinan Xu val interrupt = Output(Bool()) 37735bfeecbSYinan Xu} 37835bfeecbSYinan Xu 37946f74b57SHaojin Tangclass ExceptionInfo(implicit p: Parameters) extends XSBundleWithMicroOp { 3803a474d38SYinan Xu val isInterrupt = Bool() 3813a474d38SYinan Xu} 3823a474d38SYinan Xu 3839aca92b9SYinan Xuclass RobCommitInfo(implicit p: Parameters) extends XSBundle { 384fe6452fcSYinan Xu val ldest = UInt(5.W) 385fe6452fcSYinan Xu val rfWen = Bool() 386fe6452fcSYinan Xu val fpWen = Bool() 387a1fd7de4SLinJiawei val wflags = Bool() 388fe6452fcSYinan Xu val commitType = CommitType() 389fe6452fcSYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 390884dbb3bSLinJiawei val ftqIdx = new FtqPtr 391884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 392ccfddc82SHaojin Tang val isMove = Bool() 39314a67055Ssfencevma val isRVC = Bool() 3945844fcf0SLinJiawei 3959ecac1e8SYinan Xu // these should be optimized for synthesis verilog 3969ecac1e8SYinan Xu val pc = UInt(VAddrBits.W) 397fe6452fcSYinan Xu} 3985844fcf0SLinJiawei 3999aca92b9SYinan Xuclass RobCommitIO(implicit p: Parameters) extends XSBundle { 400ccfddc82SHaojin Tang val isCommit = Bool() 401ccfddc82SHaojin Tang val commitValid = Vec(CommitWidth, Bool()) 4026474c47fSYinan Xu 403ccfddc82SHaojin Tang val isWalk = Bool() 404c51eab43SYinan Xu // valid bits optimized for walk 405ccfddc82SHaojin Tang val walkValid = Vec(CommitWidth, Bool()) 4066474c47fSYinan Xu 407ccfddc82SHaojin Tang val info = Vec(CommitWidth, new RobCommitInfo) 408fa7f2c26STang Haojin val robIdx = Vec(CommitWidth, new RobPtr) 40921e7a6c5SYinan Xu 4106474c47fSYinan Xu def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR 4116474c47fSYinan Xu def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR 4125844fcf0SLinJiawei} 4135844fcf0SLinJiawei 414fa7f2c26STang Haojinclass SnapshotPort(implicit p: Parameters) extends XSBundle { 415fa7f2c26STang Haojin val snptEnq = Bool() 416fa7f2c26STang Haojin val snptDeq = Bool() 417fa7f2c26STang Haojin val useSnpt = Bool() 418fa7f2c26STang Haojin val snptSelect = UInt(log2Ceil(RenameSnapshotNum).W) 419fa7f2c26STang Haojin} 420fa7f2c26STang Haojin 4211b7adedcSWilliam Wangclass RSFeedback(implicit p: Parameters) extends XSBundle { 42264e8d8bdSZhangZifei val rsIdx = UInt(log2Up(IssQueSize).W) 423037a131fSWilliam Wang val hit = Bool() 42462f57a35SLemover val flushState = Bool() 4251b7adedcSWilliam Wang val sourceType = RSFeedbackType() 426c7160cd3SWilliam Wang val dataInvalidSqIdx = new SqPtr 427037a131fSWilliam Wang} 428037a131fSWilliam Wang 429d87b76aaSWilliam Wangclass MemRSFeedbackIO(implicit p: Parameters) extends XSBundle { 430d87b76aaSWilliam Wang // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO 431d87b76aaSWilliam Wang // for instance: MemRSFeedbackIO()(updateP) 432d87b76aaSWilliam Wang val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss 433d87b76aaSWilliam Wang val feedbackFast = ValidIO(new RSFeedback()) // bank conflict 434d87b76aaSWilliam Wang val rsIdx = Input(UInt(log2Up(IssQueSize).W)) 435d87b76aaSWilliam Wang val isFirstIssue = Input(Bool()) 436d87b76aaSWilliam Wang} 437d87b76aaSWilliam Wang 438f06ca0bfSLingrui98class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle { 4395844fcf0SLinJiawei // to backend end 4405844fcf0SLinJiawei val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 441d2b20d1aSTang Haojin val stallReason = new StallReasonIO(DecodeWidth) 442f06ca0bfSLingrui98 val fromFtq = new FtqToCtrlIO 4435844fcf0SLinJiawei // from backend 444f06ca0bfSLingrui98 val toFtq = Flipped(new CtrlToFtqIO) 4451e3fad10SLinJiawei} 446fcff7e94SZhangZifei 447f1fe8698SLemoverclass SatpStruct(implicit p: Parameters) extends XSBundle { 44845f497a4Shappy-lx val mode = UInt(4.W) 44945f497a4Shappy-lx val asid = UInt(16.W) 45045f497a4Shappy-lx val ppn = UInt(44.W) 45145f497a4Shappy-lx} 45245f497a4Shappy-lx 453f1fe8698SLemoverclass TlbSatpBundle(implicit p: Parameters) extends SatpStruct { 45445f497a4Shappy-lx val changed = Bool() 45545f497a4Shappy-lx 45645f497a4Shappy-lx def apply(satp_value: UInt): Unit = { 45745f497a4Shappy-lx require(satp_value.getWidth == XLEN) 45845f497a4Shappy-lx val sa = satp_value.asTypeOf(new SatpStruct) 45945f497a4Shappy-lx mode := sa.mode 46045f497a4Shappy-lx asid := sa.asid 461935edac4STang Haojin ppn := Cat(0.U((44-PAddrBits).W), sa.ppn(PAddrBits-1, 0)).asUInt 46245f497a4Shappy-lx changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush 46345f497a4Shappy-lx } 464fcff7e94SZhangZifei} 465f1fe8698SLemover 466f1fe8698SLemoverclass TlbCsrBundle(implicit p: Parameters) extends XSBundle { 467f1fe8698SLemover val satp = new TlbSatpBundle() 468*d0de7e4aSpeixiaokun val vsatp = new TlbSatpBundle() 469*d0de7e4aSpeixiaokun val hgatp = new TlbSatpBundle() 470fcff7e94SZhangZifei val priv = new Bundle { 471fcff7e94SZhangZifei val mxr = Bool() 472fcff7e94SZhangZifei val sum = Bool() 473*d0de7e4aSpeixiaokun val vmxr = Bool() 474*d0de7e4aSpeixiaokun val vsum = Bool() 475*d0de7e4aSpeixiaokun val virt = Bool() 476*d0de7e4aSpeixiaokun val spvp = UInt(1.W) 477fcff7e94SZhangZifei val imode = UInt(2.W) 478fcff7e94SZhangZifei val dmode = UInt(2.W) 479fcff7e94SZhangZifei } 4808fc4e859SZhangZifei 4818fc4e859SZhangZifei override def toPrintable: Printable = { 4828fc4e859SZhangZifei p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 4838fc4e859SZhangZifei p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 4848fc4e859SZhangZifei } 485fcff7e94SZhangZifei} 486fcff7e94SZhangZifei 4872225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle { 488fcff7e94SZhangZifei val valid = Bool() 489fcff7e94SZhangZifei val bits = new Bundle { 490fcff7e94SZhangZifei val rs1 = Bool() 491fcff7e94SZhangZifei val rs2 = Bool() 492fcff7e94SZhangZifei val addr = UInt(VAddrBits.W) 493*d0de7e4aSpeixiaokun val id = UInt((AsidLength).W) // asid or vmid 494f1fe8698SLemover val flushPipe = Bool() 495*d0de7e4aSpeixiaokun val hv = Bool() 496*d0de7e4aSpeixiaokun val hg = Bool() 497fcff7e94SZhangZifei } 4988fc4e859SZhangZifei 4998fc4e859SZhangZifei override def toPrintable: Printable = { 500f1fe8698SLemover p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}" 5018fc4e859SZhangZifei } 502fcff7e94SZhangZifei} 503a165bd69Swangkaifan 504de169c67SWilliam Wang// Bundle for load violation predictor updating 505de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 5062b8b2e7aSWilliam Wang val valid = Bool() 507de169c67SWilliam Wang 508de169c67SWilliam Wang // wait table update 509de169c67SWilliam Wang val waddr = UInt(MemPredPCWidth.W) 5102b8b2e7aSWilliam Wang val wdata = Bool() // true.B by default 511de169c67SWilliam Wang 512de169c67SWilliam Wang // store set update 513de169c67SWilliam Wang // by default, ldpc/stpc should be xor folded 514de169c67SWilliam Wang val ldpc = UInt(MemPredPCWidth.W) 515de169c67SWilliam Wang val stpc = UInt(MemPredPCWidth.W) 5162b8b2e7aSWilliam Wang} 5172b8b2e7aSWilliam Wang 5182225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 5192b8b2e7aSWilliam Wang // Prefetcher 520ecccf78fSJay val l1I_pf_enable = Output(Bool()) 5212b8b2e7aSWilliam Wang val l2_pf_enable = Output(Bool()) 52285de5caeSLinJiawei val l1D_pf_enable = Output(Bool()) 52385de5caeSLinJiawei val l1D_pf_train_on_hit = Output(Bool()) 52485de5caeSLinJiawei val l1D_pf_enable_agt = Output(Bool()) 52585de5caeSLinJiawei val l1D_pf_enable_pht = Output(Bool()) 5265d13017eSLinJiawei val l1D_pf_active_threshold = Output(UInt(4.W)) 5275d13017eSLinJiawei val l1D_pf_active_stride = Output(UInt(6.W)) 528edbf1204SLinJiawei val l1D_pf_enable_stride = Output(Bool()) 529f1d78cf7SLinJiawei val l2_pf_store_only = Output(Bool()) 530ecccf78fSJay // ICache 531ecccf78fSJay val icache_parity_enable = Output(Bool()) 532f3f22d72SYinan Xu // Labeled XiangShan 5332b8b2e7aSWilliam Wang val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter 534f3f22d72SYinan Xu // Load violation predictor 5352b8b2e7aSWilliam Wang val lvpred_disable = Output(Bool()) 5362b8b2e7aSWilliam Wang val no_spec_load = Output(Bool()) 537c7160cd3SWilliam Wang val storeset_wait_store = Output(Bool()) 538c7160cd3SWilliam Wang val storeset_no_fast_wakeup = Output(Bool()) 539c7160cd3SWilliam Wang val lvpred_timeout = Output(UInt(5.W)) 540f3f22d72SYinan Xu // Branch predictor 5412b8b2e7aSWilliam Wang val bp_ctrl = Output(new BPUCtrl) 542f3f22d72SYinan Xu // Memory Block 543f3f22d72SYinan Xu val sbuffer_threshold = Output(UInt(4.W)) 544d10a581eSWilliam Wang val ldld_vio_check_enable = Output(Bool()) 545d10a581eSWilliam Wang val soft_prefetch_enable = Output(Bool()) 546a4e57ea3SLi Qianruo val cache_error_enable = Output(Bool()) 54737225120Ssfencevma val uncache_write_outstanding_enable = Output(Bool()) 548aac4464eSYinan Xu // Rename 5495b47c58cSYinan Xu val fusion_enable = Output(Bool()) 5505b47c58cSYinan Xu val wfi_enable = Output(Bool()) 551af2f7849Shappy-lx // Decode 552af2f7849Shappy-lx val svinval_enable = Output(Bool()) 553af2f7849Shappy-lx 554b6982e83SLemover // distribute csr write signal 555b6982e83SLemover val distribute_csr = new DistributedCSRIO() 5565b0f0029SXuan Hu // TODO: move it to a new bundle, since single step is not a custom control signal 557ddb65c47SLi Qianruo val singlestep = Output(Bool()) 55872951335SLi Qianruo val frontend_trigger = new FrontendTdataDistributeIO() 55972951335SLi Qianruo val mem_trigger = new MemTdataDistributeIO() 56072951335SLi Qianruo val trigger_enable = Output(Vec(10, Bool())) 561*d0de7e4aSpeixiaokun // Virtualization Mode 562*d0de7e4aSpeixiaokun val virtMode = Output(Bool()) 563b6982e83SLemover} 564b6982e83SLemover 565b6982e83SLemoverclass DistributedCSRIO(implicit p: Parameters) extends XSBundle { 5661c746d3aScui fliter // CSR has been written by csr inst, copies of csr should be updated 567b6982e83SLemover val w = ValidIO(new Bundle { 568b6982e83SLemover val addr = Output(UInt(12.W)) 569b6982e83SLemover val data = Output(UInt(XLEN.W)) 570b6982e83SLemover }) 5712b8b2e7aSWilliam Wang} 572e19f7967SWilliam Wang 573e19f7967SWilliam Wangclass DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle { 574e19f7967SWilliam Wang // Request csr to be updated 575e19f7967SWilliam Wang // 576e19f7967SWilliam Wang // Note that this request will ONLY update CSR Module it self, 577e19f7967SWilliam Wang // copies of csr will NOT be updated, use it with care! 578e19f7967SWilliam Wang // 579e19f7967SWilliam Wang // For each cycle, no more than 1 DistributedCSRUpdateReq is valid 580e19f7967SWilliam Wang val w = ValidIO(new Bundle { 581e19f7967SWilliam Wang val addr = Output(UInt(12.W)) 582e19f7967SWilliam Wang val data = Output(UInt(XLEN.W)) 583e19f7967SWilliam Wang }) 584e19f7967SWilliam Wang def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = { 585e19f7967SWilliam Wang when(valid){ 586e19f7967SWilliam Wang w.bits.addr := addr 587e19f7967SWilliam Wang w.bits.data := data 588e19f7967SWilliam Wang } 589e19f7967SWilliam Wang println("Distributed CSR update req registered for " + src_description) 590e19f7967SWilliam Wang } 591e19f7967SWilliam Wang} 59272951335SLi Qianruo 5930f59c834SWilliam Wangclass L1CacheErrorInfo(implicit p: Parameters) extends XSBundle { 5940f59c834SWilliam Wang // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR 5950f59c834SWilliam Wang val source = Output(new Bundle() { 5960f59c834SWilliam Wang val tag = Bool() // l1 tag array 5970f59c834SWilliam Wang val data = Bool() // l1 data array 5980f59c834SWilliam Wang val l2 = Bool() 5990f59c834SWilliam Wang }) 6000f59c834SWilliam Wang val opType = Output(new Bundle() { 6010f59c834SWilliam Wang val fetch = Bool() 6020f59c834SWilliam Wang val load = Bool() 6030f59c834SWilliam Wang val store = Bool() 6040f59c834SWilliam Wang val probe = Bool() 6050f59c834SWilliam Wang val release = Bool() 6060f59c834SWilliam Wang val atom = Bool() 6070f59c834SWilliam Wang }) 6080f59c834SWilliam Wang val paddr = Output(UInt(PAddrBits.W)) 6090f59c834SWilliam Wang 6100f59c834SWilliam Wang // report error and paddr to beu 6110f59c834SWilliam Wang // bus error unit will receive error info iff ecc_error.valid 6120f59c834SWilliam Wang val report_to_beu = Output(Bool()) 6130f59c834SWilliam Wang 6140f59c834SWilliam Wang // there is an valid error 6150f59c834SWilliam Wang // l1 cache error will always be report to CACHE_ERROR csr 6160f59c834SWilliam Wang val valid = Output(Bool()) 6170f59c834SWilliam Wang 6180f59c834SWilliam Wang def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = { 6190f59c834SWilliam Wang val beu_info = Wire(new L1BusErrorUnitInfo) 6200f59c834SWilliam Wang beu_info.ecc_error.valid := report_to_beu 6210f59c834SWilliam Wang beu_info.ecc_error.bits := paddr 6220f59c834SWilliam Wang beu_info 6230f59c834SWilliam Wang } 6240f59c834SWilliam Wang} 625bc63e578SLi Qianruo 626bc63e578SLi Qianruo/* TODO how to trigger on next inst? 627bc63e578SLi Qianruo1. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep 628bc63e578SLi Qianruo2. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set 629bc63e578SLi Qianruoxret csr to pc + 4/ + 2 630bc63e578SLi Qianruo2.5 The problem is to let it commit. This is the real TODO 631bc63e578SLi Qianruo3. If it is load and hit before just treat it as regular load exception 632bc63e578SLi Qianruo */ 633bc63e578SLi Qianruo 634bc63e578SLi Qianruo// This bundle carries trigger hit info along the pipeline 635bc63e578SLi Qianruo// Now there are 10 triggers divided into 5 groups of 2 636bc63e578SLi Qianruo// These groups are 637bc63e578SLi Qianruo// (if if) (store store) (load loid) (if store) (if load) 638bc63e578SLi Qianruo 639bc63e578SLi Qianruo// Triggers in the same group can chain, meaning that they only 640bc63e578SLi Qianruo// fire is both triggers in the group matches (the triggerHitVec bit is asserted) 641bc63e578SLi Qianruo// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i) 642bc63e578SLi Qianruo// Timing of 0 means trap at current inst, 1 means trap at next inst 643bc63e578SLi Qianruo// Chaining and timing and the validness of a trigger is controlled by csr 644bc63e578SLi Qianruo// In two chained triggers, if they have different timing, both won't fire 64584e47f35SLi Qianruo//class TriggerCf (implicit p: Parameters) extends XSBundle { 64684e47f35SLi Qianruo// val triggerHitVec = Vec(10, Bool()) 64784e47f35SLi Qianruo// val triggerTiming = Vec(10, Bool()) 64884e47f35SLi Qianruo// val triggerChainVec = Vec(5, Bool()) 64984e47f35SLi Qianruo//} 65084e47f35SLi Qianruo 65172951335SLi Qianruoclass TriggerCf(implicit p: Parameters) extends XSBundle { 65284e47f35SLi Qianruo // frontend 65384e47f35SLi Qianruo val frontendHit = Vec(4, Bool()) 654ddb65c47SLi Qianruo// val frontendTiming = Vec(4, Bool()) 655ddb65c47SLi Qianruo// val frontendHitNext = Vec(4, Bool()) 65684e47f35SLi Qianruo 657ddb65c47SLi Qianruo// val frontendException = Bool() 65884e47f35SLi Qianruo // backend 65984e47f35SLi Qianruo val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4) 66084e47f35SLi Qianruo val backendHit = Vec(6, Bool()) 661ddb65c47SLi Qianruo// val backendTiming = Vec(6, Bool()) // trigger enable fro chain 66284e47f35SLi Qianruo 66384e47f35SLi Qianruo // Two situations not allowed: 66484e47f35SLi Qianruo // 1. load data comparison 66584e47f35SLi Qianruo // 2. store chaining with store 66684e47f35SLi Qianruo def getHitFrontend = frontendHit.reduce(_ || _) 66784e47f35SLi Qianruo def getHitBackend = backendHit.reduce(_ || _) 668ddb65c47SLi Qianruo def hit = getHitFrontend || getHitBackend 669d7dd1af1SLi Qianruo def clear(): Unit = { 670d7dd1af1SLi Qianruo frontendHit.foreach(_ := false.B) 671d7dd1af1SLi Qianruo backendEn.foreach(_ := false.B) 672d7dd1af1SLi Qianruo backendHit.foreach(_ := false.B) 673d7dd1af1SLi Qianruo } 67472951335SLi Qianruo} 67572951335SLi Qianruo 676bc63e578SLi Qianruo// these 3 bundles help distribute trigger control signals from CSR 677bc63e578SLi Qianruo// to Frontend, Load and Store. 67872951335SLi Qianruoclass FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle { 67972951335SLi Qianruo val t = Valid(new Bundle { 68072951335SLi Qianruo val addr = Output(UInt(2.W)) 68172951335SLi Qianruo val tdata = new MatchTriggerIO 68272951335SLi Qianruo }) 68372951335SLi Qianruo } 68472951335SLi Qianruo 68572951335SLi Qianruoclass MemTdataDistributeIO(implicit p: Parameters) extends XSBundle { 68672951335SLi Qianruo val t = Valid(new Bundle { 68772951335SLi Qianruo val addr = Output(UInt(3.W)) 68872951335SLi Qianruo val tdata = new MatchTriggerIO 68972951335SLi Qianruo }) 69072951335SLi Qianruo} 69172951335SLi Qianruo 69272951335SLi Qianruoclass MatchTriggerIO(implicit p: Parameters) extends XSBundle { 69372951335SLi Qianruo val matchType = Output(UInt(2.W)) 69472951335SLi Qianruo val select = Output(Bool()) 69572951335SLi Qianruo val timing = Output(Bool()) 69672951335SLi Qianruo val action = Output(Bool()) 69772951335SLi Qianruo val chain = Output(Bool()) 69872951335SLi Qianruo val tdata2 = Output(UInt(64.W)) 69972951335SLi Qianruo} 700b9e121dfShappy-lx 701d2b20d1aSTang Haojinclass StallReasonIO(width: Int) extends Bundle { 702d2b20d1aSTang Haojin val reason = Output(Vec(width, UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W))) 703d2b20d1aSTang Haojin val backReason = Flipped(Valid(UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W))) 704d2b20d1aSTang Haojin} 705d2b20d1aSTang Haojin 706b9e121dfShappy-lx// custom l2 - l1 interface 707b9e121dfShappy-lxclass L2ToL1Hint(implicit p: Parameters) extends XSBundle with HasDCacheParameters { 708b9e121dfShappy-lx val sourceId = UInt(log2Up(cfg.nMissEntries).W) // tilelink sourceID -> mshr id 709d2945707SHuijin Li val isKeyword = Bool() // miss entry keyword -> L1 load queue replay 710b9e121dfShappy-lx} 711