xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision cde9280d25efc101d8b0845edca84c1a95dea6e9)
11e3fad10SLinJiaweipackage xiangshan
21e3fad10SLinJiawei
31e3fad10SLinJiaweiimport chisel3._
45844fcf0SLinJiaweiimport chisel3.util._
5c2a8ae00SYikeZhouimport xiangshan.backend.SelImm
642707b3bSYinan Xuimport xiangshan.backend.roq.RoqPtr
7b0ae3ac4SLinJiaweiimport xiangshan.backend.decode.{ImmUnion, XDecode}
85c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr}
966b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo
10f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter
11f3501106SGouLingruiimport xiangshan.frontend.HasTageParameter
12ceaf5e1fSLingrui98import xiangshan.frontend.HasIFUConst
13f634c609SLingrui98import xiangshan.frontend.GlobalHistory
147447ee13SLingrui98import xiangshan.frontend.RASEntry
15ceaf5e1fSLingrui98import utils._
16b0ae3ac4SLinJiawei
172fbdb79bSLingrui98import scala.math.max
18d471c5aeSLingrui98import Chisel.experimental.chiselName
19884dbb3bSLinJiaweiimport xiangshan.backend.ftq.FtqPtr
201e3fad10SLinJiawei
215844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache
221e3fad10SLinJiaweiclass FetchPacket extends XSBundle {
2328958354Szhanglinjuan  val instrs = Vec(PredictWidth, UInt(32.W))
2428958354Szhanglinjuan  val mask = UInt(PredictWidth.W)
254ec80874Szoujr  val pdmask = UInt(PredictWidth.W)
2642696a74Szhanglinjuan  // val pc = UInt(VAddrBits.W)
2742696a74Szhanglinjuan  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
2828958354Szhanglinjuan  val pnpc = Vec(PredictWidth, UInt(VAddrBits.W))
2943ad9482SLingrui98  val bpuMeta = Vec(PredictWidth, new BpuMeta)
30a428082bSLinJiawei  val pd = Vec(PredictWidth, new PreDecodeInfo)
315a67e465Szhanglinjuan  val ipf = Bool()
327e6acce3Sjinyue110  val acf = Bool()
335a67e465Szhanglinjuan  val crossPageIPFFix = Bool()
340f94ebecSzoujr  val predTaken = Bool()
351e3fad10SLinJiawei}
361e3fad10SLinJiawei
37627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
383803411bSzhanglinjuan  val valid = Bool()
3935fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
40627c0a19Szhanglinjuan  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
413803411bSzhanglinjuan}
423803411bSzhanglinjuan
43627c0a19Szhanglinjuanobject ValidUndirectioned {
44627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
45627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
463803411bSzhanglinjuan  }
473803411bSzhanglinjuan}
483803411bSzhanglinjuan
49534e17a9SLingrui98class SCMeta(val useSC: Boolean) extends XSBundle with HasTageParameter {
502fbdb79bSLingrui98  def maxVal = 8 * ((1 << TageCtrBits) - 1) + SCTableInfo.map{case (_,cb,_) => (1 << cb) - 1}.reduce(_+_)
512fbdb79bSLingrui98  def minVal = -(8 * (1 << TageCtrBits) + SCTableInfo.map{case (_,cb,_) => 1 << cb}.reduce(_+_))
522fbdb79bSLingrui98  def sumCtrBits = max(log2Ceil(-minVal), log2Ceil(maxVal+1)) + 1
532fbdb79bSLingrui98  val tageTaken = if (useSC) Bool() else UInt(0.W)
542fbdb79bSLingrui98  val scUsed    = if (useSC) Bool() else UInt(0.W)
552fbdb79bSLingrui98  val scPred    = if (useSC) Bool() else UInt(0.W)
562fbdb79bSLingrui98  // Suppose ctrbits of all tables are identical
572fbdb79bSLingrui98  val ctrs      = if (useSC) Vec(SCNTables, SInt(SCCtrBits.W)) else Vec(SCNTables, SInt(0.W))
586b98bdcbSLingrui98  val sumAbs    = if (useSC) UInt(sumCtrBits.W) else UInt(0.W)
592fbdb79bSLingrui98}
602fbdb79bSLingrui98
61f3501106SGouLingruiclass TageMeta extends XSBundle with HasTageParameter {
62627c0a19Szhanglinjuan  val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
631e7d14a8Szhanglinjuan  val altDiffers = Bool()
641e7d14a8Szhanglinjuan  val providerU = UInt(2.W)
651e7d14a8Szhanglinjuan  val providerCtr = UInt(3.W)
66627c0a19Szhanglinjuan  val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
676b98bdcbSLingrui98  val taken = Bool()
682fbdb79bSLingrui98  val scMeta = new SCMeta(EnableSC)
691e7d14a8Szhanglinjuan}
701e7d14a8Szhanglinjuan
71d471c5aeSLingrui98@chiselName
72ceaf5e1fSLingrui98class BranchPrediction extends XSBundle with HasIFUConst {
73ceaf5e1fSLingrui98  // val redirect = Bool()
74ceaf5e1fSLingrui98  val takens = UInt(PredictWidth.W)
75ceaf5e1fSLingrui98  // val jmpIdx = UInt(log2Up(PredictWidth).W)
76ceaf5e1fSLingrui98  val brMask = UInt(PredictWidth.W)
77ceaf5e1fSLingrui98  val jalMask = UInt(PredictWidth.W)
78ceaf5e1fSLingrui98  val targets = Vec(PredictWidth, UInt(VAddrBits.W))
79ceaf5e1fSLingrui98
80ceaf5e1fSLingrui98  // marks the last 2 bytes of this fetch packet
81ceaf5e1fSLingrui98  // val endsAtTheEndOfFirstBank = Bool()
82ceaf5e1fSLingrui98  // val endsAtTheEndOfLastBank = Bool()
83ceaf5e1fSLingrui98
84576af497SLingrui98  // half RVI could only start at the end of a packet
85576af497SLingrui98  val hasHalfRVI = Bool()
86ceaf5e1fSLingrui98
87ceaf5e1fSLingrui98
88818ec9f9SLingrui98  // assumes that only one of the two conditions could be true
89576af497SLingrui98  def lastHalfRVIMask = Cat(hasHalfRVI.asUInt, 0.U((PredictWidth-1).W))
90ceaf5e1fSLingrui98
91ceaf5e1fSLingrui98  def lastHalfRVIClearMask = ~lastHalfRVIMask
92ceaf5e1fSLingrui98  // is taken from half RVI
93576af497SLingrui98  def lastHalfRVITaken = takens(PredictWidth-1) && hasHalfRVI
94ceaf5e1fSLingrui98
95576af497SLingrui98  def lastHalfRVIIdx = (PredictWidth-1).U
96ceaf5e1fSLingrui98  // should not be used if not lastHalfRVITaken
97576af497SLingrui98  def lastHalfRVITarget = targets(PredictWidth-1)
98ceaf5e1fSLingrui98
99ceaf5e1fSLingrui98  def realTakens  = takens  & lastHalfRVIClearMask
100ceaf5e1fSLingrui98  def realBrMask  = brMask  & lastHalfRVIClearMask
101ceaf5e1fSLingrui98  def realJalMask = jalMask & lastHalfRVIClearMask
102ceaf5e1fSLingrui98
103c0c378b3SLingrui98  def brNotTakens = (~takens & realBrMask)
104ceaf5e1fSLingrui98  def sawNotTakenBr = VecInit((0 until PredictWidth).map(i =>
10544ff7871SLingrui98                       (if (i == 0) false.B else ParallelORR(brNotTakens(i-1,0)))))
106580c7a5eSLingrui98  // def hasNotTakenBrs = (brNotTakens & LowerMaskFromLowest(realTakens)).orR
10744ff7871SLingrui98  def unmaskedJmpIdx = ParallelPriorityEncoder(takens)
108818ec9f9SLingrui98  // if not taken before the half RVI inst
109576af497SLingrui98  def saveHalfRVI = hasHalfRVI && !(ParallelORR(takens(PredictWidth-2,0)))
110ceaf5e1fSLingrui98  // could get PredictWidth-1 when only the first bank is valid
11144ff7871SLingrui98  def jmpIdx = ParallelPriorityEncoder(realTakens)
112ceaf5e1fSLingrui98  // only used when taken
113c0c378b3SLingrui98  def target = {
114c0c378b3SLingrui98    val generator = new PriorityMuxGenerator[UInt]
115c0c378b3SLingrui98    generator.register(realTakens.asBools, targets, List.fill(PredictWidth)(None))
116c0c378b3SLingrui98    generator()
117c0c378b3SLingrui98  }
11844ff7871SLingrui98  def taken = ParallelORR(realTakens)
11944ff7871SLingrui98  def takenOnBr = taken && ParallelPriorityMux(realTakens, realBrMask.asBools)
12044ff7871SLingrui98  def hasNotTakenBrs = Mux(taken, ParallelPriorityMux(realTakens, sawNotTakenBr), ParallelORR(brNotTakens))
12166b0d0c3Szhanglinjuan}
12266b0d0c3Szhanglinjuan
12343ad9482SLingrui98class BpuMeta extends XSBundle with HasBPUParameter {
12453bf6077SLingrui98  val ubtbWriteWay = UInt(log2Up(UBtbWays).W)
125e3aeae54SLingrui98  val ubtbHits = Bool()
12653bf6077SLingrui98  val btbWriteWay = UInt(log2Up(BtbWays).W)
127035fad39SGouLingrui  val btbHitJal = Bool()
128e3aeae54SLingrui98  val bimCtr = UInt(2.W)
129f226232fSzhanglinjuan  val tageMeta = new TageMeta
130f634c609SLingrui98  // for global history
131f226232fSzhanglinjuan
1323a48285bSGouLingrui  val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
1333a48285bSGouLingrui  val debug_btb_cycle  = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
1343a48285bSGouLingrui  val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
135ec776fa0SLingrui98
1367d793c5aSzoujr  val predictor = if (BPUDebug) UInt(log2Up(4).W) else UInt(0.W) // Mark which component this prediction comes from {ubtb, btb, tage, loopPredictor}
1377d793c5aSzoujr
138f634c609SLingrui98  // def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = {
139f634c609SLingrui98  //   this.histPtr := histPtr
140f634c609SLingrui98  //   this.tageMeta := tageMeta
141f634c609SLingrui98  //   this.rasSp := rasSp
142f634c609SLingrui98  //   this.rasTopCtr := rasTopCtr
143f634c609SLingrui98  //   this.asUInt
144f634c609SLingrui98  // }
145f226232fSzhanglinjuan  def size = 0.U.asTypeOf(this).getWidth
146f226232fSzhanglinjuan  def fromUInt(x: UInt) = x.asTypeOf(this)
14766b0d0c3Szhanglinjuan}
14866b0d0c3Szhanglinjuan
14904fb04efSLingrui98class Predecode extends XSBundle with HasIFUConst {
150ceaf5e1fSLingrui98  val hasLastHalfRVI = Bool()
1516215f044SLingrui98  val mask = UInt(PredictWidth.W)
152576af497SLingrui98  val lastHalf = Bool()
1536215f044SLingrui98  val pd = Vec(PredictWidth, (new PreDecodeInfo))
1546fb61704Szhanglinjuan}
1556fb61704Szhanglinjuan
1567d793c5aSzoujrclass CfiUpdateInfo extends XSBundle with HasBPUParameter {
157f226232fSzhanglinjuan  // from backend
15869cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
159f226232fSzhanglinjuan  // frontend -> backend -> frontend
160f226232fSzhanglinjuan  val pd = new PreDecodeInfo
1618a5e9243SLinJiawei  val rasSp = UInt(log2Up(RasSize).W)
1622e947747SLinJiawei  val rasEntry = new RASEntry
1638a5e9243SLinJiawei  val hist = new GlobalHistory
1648a5e9243SLinJiawei  val predHist = new GlobalHistory
1652e947747SLinJiawei  val specCnt = UInt(10.W)
166fe3a74fcSYinan Xu  // need pipeline update
1672e947747SLinJiawei  val sawNotTakenBranch = Bool()
1682e947747SLinJiawei  val predTaken = Bool()
169884dbb3bSLinJiawei  val target = UInt(VAddrBits.W)
1709a2e6b8aSLinJiawei  val taken = Bool()
171b2e6921eSLinJiawei  val isMisPred = Bool()
172b2e6921eSLinJiawei}
173b2e6921eSLinJiawei
1745844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
1755844fcf0SLinJiaweiclass CtrlFlow extends XSBundle {
1765844fcf0SLinJiawei  val instr = UInt(32.W)
1775844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
178baf8def6SYinan Xu  val exceptionVec = ExceptionVec()
1795844fcf0SLinJiawei  val intrVec = Vec(12, Bool())
180faf3cfa9SLinJiawei  val pd = new PreDecodeInfo
181*cde9280dSLinJiawei  val pred_taken = Bool()
182c84054caSLinJiawei  val crossPageIPFFix = Bool()
183884dbb3bSLinJiawei  val ftqPtr = new FtqPtr
184884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
1855844fcf0SLinJiawei}
1865844fcf0SLinJiawei
1878a5e9243SLinJiaweiclass FtqEntry extends XSBundle {
188ec778fd0SLingrui98    // fetch pc, pc of each inst could be generated by concatenation
189faf3cfa9SLinJiawei    val ftqPC = UInt((VAddrBits.W))
190ec778fd0SLingrui98
191ec778fd0SLingrui98    // prediction metas
192ec778fd0SLingrui98    val hist = new GlobalHistory
193ec778fd0SLingrui98    val predHist = new GlobalHistory
194ec778fd0SLingrui98    val rasSp = UInt(log2Ceil(RasSize).W)
195ec778fd0SLingrui98    val rasTop = new RASEntry()
196ec778fd0SLingrui98    val metas = Vec(PredictWidth, new BpuMeta)
197ec778fd0SLingrui98
198b97160feSLinJiawei    val cfiIsCall, cfiIsRet, cfiIsRVC = Bool()
199b97160feSLinJiawei    val br_mask = Vec(PredictWidth, Bool())
200b97160feSLinJiawei    val cfiIndex = ValidUndirectioned(UInt(log2Up(PredictWidth).W))
2012e947747SLinJiawei    val specCnt = Vec(PredictWidth, UInt(10.W))
202b97160feSLinJiawei    val valids = Vec(PredictWidth, Bool())
203ec778fd0SLingrui98
204c778d2afSLinJiawei    // backend update
205c778d2afSLinJiawei    val mispred = Vec(PredictWidth, Bool())
206c778d2afSLinJiawei    val jalr_target = UInt(VAddrBits.W)
207ec778fd0SLingrui98}
208ec778fd0SLingrui98
209ec778fd0SLingrui98
210579b9f28SLinJiawei
211579b9f28SLinJiaweiclass FPUCtrlSignals extends XSBundle {
2122ce29ed6SLinJiawei  val isAddSub = Bool() // swap23
2132ce29ed6SLinJiawei	val typeTagIn = UInt(2.W)
2142ce29ed6SLinJiawei	val typeTagOut = UInt(2.W)
2152ce29ed6SLinJiawei  val fromInt = Bool()
2162ce29ed6SLinJiawei  val wflags = Bool()
2172ce29ed6SLinJiawei  val fpWen = Bool()
2182ce29ed6SLinJiawei  val fmaCmd = UInt(2.W)
2192ce29ed6SLinJiawei  val div = Bool()
2202ce29ed6SLinJiawei  val sqrt = Bool()
2212ce29ed6SLinJiawei  val fcvt = Bool()
2222ce29ed6SLinJiawei  val typ = UInt(2.W)
2232ce29ed6SLinJiawei  val fmt = UInt(2.W)
2242ce29ed6SLinJiawei  val ren3 = Bool() //TODO: remove SrcType.fp
225579b9f28SLinJiawei}
226579b9f28SLinJiawei
2275844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
2285844fcf0SLinJiaweiclass CtrlSignals extends XSBundle {
2299a2e6b8aSLinJiawei  val src1Type, src2Type, src3Type = SrcType()
2309a2e6b8aSLinJiawei  val lsrc1, lsrc2, lsrc3 = UInt(5.W)
2319a2e6b8aSLinJiawei  val ldest = UInt(5.W)
2329a2e6b8aSLinJiawei  val fuType = FuType()
2339a2e6b8aSLinJiawei  val fuOpType = FuOpType()
2349a2e6b8aSLinJiawei  val rfWen = Bool()
2359a2e6b8aSLinJiawei  val fpWen = Bool()
2369a2e6b8aSLinJiawei  val isXSTrap = Bool()
2372d366136SLinJiawei  val noSpecExec = Bool()  // wait forward
2382d366136SLinJiawei  val blockBackward  = Bool()  // block backward
23945a56a29SZhangZifei  val flushPipe  = Bool()  // This inst will flush all the pipe when commit, like exception but can commit
240db34a189SLinJiawei  val isRVF = Bool()
241c2a8ae00SYikeZhou  val selImm = SelImm()
242b0ae3ac4SLinJiawei  val imm = UInt(ImmUnion.maxLen.W)
243a3edac52SYinan Xu  val commitType = CommitType()
244579b9f28SLinJiawei  val fpu = new FPUCtrlSignals
245be25371aSYikeZhou
246be25371aSYikeZhou  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]) = {
247be25371aSYikeZhou    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table)
248be25371aSYikeZhou    val signals =
2494d24c305SYikeZhou      Seq(src1Type, src2Type, src3Type, fuType, fuOpType, rfWen, fpWen,
250c2a8ae00SYikeZhou          isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm)
251be25371aSYikeZhou    signals zip decoder map { case(s, d) => s := d }
2524d24c305SYikeZhou    commitType := DontCare
253be25371aSYikeZhou    this
254be25371aSYikeZhou  }
2555844fcf0SLinJiawei}
2565844fcf0SLinJiawei
2575844fcf0SLinJiaweiclass CfCtrl extends XSBundle {
2585844fcf0SLinJiawei  val cf = new CtrlFlow
2595844fcf0SLinJiawei  val ctrl = new CtrlSignals
2605844fcf0SLinJiawei}
2615844fcf0SLinJiawei
262ba4100caSYinan Xuclass PerfDebugInfo extends XSBundle {
263ba4100caSYinan Xu  // val fetchTime = UInt(64.W)
264ba4100caSYinan Xu  val renameTime = UInt(64.W)
2657cef916fSYinan Xu  val dispatchTime = UInt(64.W)
266ba4100caSYinan Xu  val issueTime = UInt(64.W)
267ba4100caSYinan Xu  val writebackTime = UInt(64.W)
2687cef916fSYinan Xu  // val commitTime = UInt(64.W)
269ba4100caSYinan Xu}
270ba4100caSYinan Xu
27148d1472eSWilliam Wang// Separate LSQ
272fe6452fcSYinan Xuclass LSIdx extends XSBundle {
273915c0dd4SYinan Xu  val lqIdx = new LqPtr
2745c1ae31bSYinan Xu  val sqIdx = new SqPtr
27524726fbfSWilliam Wang}
27624726fbfSWilliam Wang
277b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
278fe6452fcSYinan Xuclass MicroOp extends CfCtrl {
2799a2e6b8aSLinJiawei  val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W)
2809a2e6b8aSLinJiawei  val src1State, src2State, src3State = SrcState()
28142707b3bSYinan Xu  val roqIdx = new RoqPtr
282fe6452fcSYinan Xu  val lqIdx = new LqPtr
283fe6452fcSYinan Xu  val sqIdx = new SqPtr
284355fcd20SAllen  val diffTestDebugLrScValid = Bool()
2857cef916fSYinan Xu  val debugInfo = new PerfDebugInfo
2865844fcf0SLinJiawei}
2875844fcf0SLinJiawei
2884d8e0a7fSYinan Xuclass Redirect extends XSBundle {
28942707b3bSYinan Xu  val roqIdx = new RoqPtr
29036d7aed5SLinJiawei  val ftqIdx = new FtqPtr
29136d7aed5SLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
292bfb958a3SYinan Xu  val level = RedirectLevel()
293bfb958a3SYinan Xu  val interrupt = Bool()
294c778d2afSLinJiawei  val cfiUpdate = new CfiUpdateInfo
295bfb958a3SYinan Xu
296bfb958a3SYinan Xu  def isUnconditional() = RedirectLevel.isUnconditional(level)
297bfb958a3SYinan Xu  def flushItself() = RedirectLevel.flushItself(level)
298bfb958a3SYinan Xu  def isException() = RedirectLevel.isException(level)
299a25b1bceSLinJiawei}
300a25b1bceSLinJiawei
3015844fcf0SLinJiaweiclass Dp1ToDp2IO extends XSBundle {
3025c7b21d5SYinan Xu  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
3035c7b21d5SYinan Xu  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
3045c7b21d5SYinan Xu  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
3055844fcf0SLinJiawei}
3065844fcf0SLinJiawei
30760deaca2SLinJiaweiclass ReplayPregReq extends XSBundle {
30860deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
30960deaca2SLinJiawei  val isInt = Bool()
31060deaca2SLinJiawei  val isFp = Bool()
31160deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
3125844fcf0SLinJiawei}
3135844fcf0SLinJiawei
314e402d94eSWilliam Wangclass DebugBundle extends XSBundle{
31572235fa4SWilliam Wang  val isMMIO = Bool()
3168635f18fSwangkaifan  val isPerfCnt = Bool()
317e402d94eSWilliam Wang}
3185844fcf0SLinJiawei
3195844fcf0SLinJiaweiclass ExuInput extends XSBundle {
3205844fcf0SLinJiawei  val uop = new MicroOp
3219684eb4fSLinJiawei  val src1, src2, src3 = UInt((XLEN+1).W)
3225844fcf0SLinJiawei}
3235844fcf0SLinJiawei
3245844fcf0SLinJiaweiclass ExuOutput extends XSBundle {
3255844fcf0SLinJiawei  val uop = new MicroOp
3269684eb4fSLinJiawei  val data = UInt((XLEN+1).W)
3277f1506e3SLinJiawei  val fflags  = UInt(5.W)
32897cfa7f8SLinJiawei  val redirectValid = Bool()
32997cfa7f8SLinJiawei  val redirect = new Redirect
330e402d94eSWilliam Wang  val debug = new DebugBundle
3315844fcf0SLinJiawei}
3325844fcf0SLinJiawei
33335bfeecbSYinan Xuclass ExternalInterruptIO extends XSBundle {
33435bfeecbSYinan Xu  val mtip = Input(Bool())
33535bfeecbSYinan Xu  val msip = Input(Bool())
33635bfeecbSYinan Xu  val meip = Input(Bool())
3375844fcf0SLinJiawei}
3385844fcf0SLinJiawei
33935bfeecbSYinan Xuclass CSRSpecialIO extends XSBundle {
34035bfeecbSYinan Xu  val exception = Flipped(ValidIO(new MicroOp))
3413fa7b737SYinan Xu  val isInterrupt = Input(Bool())
34235bfeecbSYinan Xu  val memExceptionVAddr = Input(UInt(VAddrBits.W))
34335bfeecbSYinan Xu  val trapTarget = Output(UInt(VAddrBits.W))
34435bfeecbSYinan Xu  val externalInterrupt = new ExternalInterruptIO
34535bfeecbSYinan Xu  val interrupt = Output(Bool())
34635bfeecbSYinan Xu}
34735bfeecbSYinan Xu
348fe6452fcSYinan Xuclass RoqCommitInfo extends XSBundle {
349fe6452fcSYinan Xu  val ldest = UInt(5.W)
350fe6452fcSYinan Xu  val rfWen = Bool()
351fe6452fcSYinan Xu  val fpWen = Bool()
352a1fd7de4SLinJiawei  val wflags = Bool()
353fe6452fcSYinan Xu  val commitType = CommitType()
354fe6452fcSYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
355fe6452fcSYinan Xu  val old_pdest = UInt(PhyRegIdxWidth.W)
356fe6452fcSYinan Xu  val lqIdx = new LqPtr
357fe6452fcSYinan Xu  val sqIdx = new SqPtr
358884dbb3bSLinJiawei  val ftqIdx = new FtqPtr
359884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
3605844fcf0SLinJiawei
3619ecac1e8SYinan Xu  // these should be optimized for synthesis verilog
3629ecac1e8SYinan Xu  val pc = UInt(VAddrBits.W)
363fe6452fcSYinan Xu}
3645844fcf0SLinJiawei
36521e7a6c5SYinan Xuclass RoqCommitIO extends XSBundle {
36621e7a6c5SYinan Xu  val isWalk = Output(Bool())
36721e7a6c5SYinan Xu  val valid = Vec(CommitWidth, Output(Bool()))
368fe6452fcSYinan Xu  val info = Vec(CommitWidth, Output(new RoqCommitInfo))
36921e7a6c5SYinan Xu
37021e7a6c5SYinan Xu  def hasWalkInstr = isWalk && valid.asUInt.orR
37121e7a6c5SYinan Xu  def hasCommitInstr = !isWalk && valid.asUInt.orR
3725844fcf0SLinJiawei}
3735844fcf0SLinJiawei
37442707b3bSYinan Xuclass TlbFeedback extends XSBundle {
37542707b3bSYinan Xu  val roqIdx = new RoqPtr
376037a131fSWilliam Wang  val hit = Bool()
377037a131fSWilliam Wang}
378037a131fSWilliam Wang
3795844fcf0SLinJiaweiclass FrontendToBackendIO extends XSBundle {
3805844fcf0SLinJiawei  // to backend end
3815844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
3828a5e9243SLinJiawei  val fetchInfo = DecoupledIO(new FtqEntry)
3835844fcf0SLinJiawei  // from backend
384c778d2afSLinJiawei  val redirect_cfiUpdate = Flipped(ValidIO(new Redirect))
385c778d2afSLinJiawei  val commit_cfiUpdate = Flipped(ValidIO(new FtqEntry))
3861e3fad10SLinJiawei}
387fcff7e94SZhangZifei
388fcff7e94SZhangZifeiclass TlbCsrBundle extends XSBundle {
389fcff7e94SZhangZifei  val satp = new Bundle {
390fcff7e94SZhangZifei    val mode = UInt(4.W) // TODO: may change number to parameter
391fcff7e94SZhangZifei    val asid = UInt(16.W)
392fcff7e94SZhangZifei    val ppn  = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
393fcff7e94SZhangZifei  }
394fcff7e94SZhangZifei  val priv = new Bundle {
395fcff7e94SZhangZifei    val mxr = Bool()
396fcff7e94SZhangZifei    val sum = Bool()
397fcff7e94SZhangZifei    val imode = UInt(2.W)
398fcff7e94SZhangZifei    val dmode = UInt(2.W)
399fcff7e94SZhangZifei  }
4008fc4e859SZhangZifei
4018fc4e859SZhangZifei  override def toPrintable: Printable = {
4028fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
4038fc4e859SZhangZifei    p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
4048fc4e859SZhangZifei  }
405fcff7e94SZhangZifei}
406fcff7e94SZhangZifei
407fcff7e94SZhangZifeiclass SfenceBundle extends XSBundle {
408fcff7e94SZhangZifei  val valid = Bool()
409fcff7e94SZhangZifei  val bits = new Bundle {
410fcff7e94SZhangZifei    val rs1 = Bool()
411fcff7e94SZhangZifei    val rs2 = Bool()
412fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
413fcff7e94SZhangZifei  }
4148fc4e859SZhangZifei
4158fc4e859SZhangZifei  override def toPrintable: Printable = {
4168fc4e859SZhangZifei    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
4178fc4e859SZhangZifei  }
418fcff7e94SZhangZifei}
419