1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 171e3fad10SLinJiaweipackage xiangshan 181e3fad10SLinJiawei 191e3fad10SLinJiaweiimport chisel3._ 205844fcf0SLinJiaweiimport chisel3.util._ 2142707b3bSYinan Xuimport xiangshan.backend.roq.RoqPtr 22f06ca0bfSLingrui98import xiangshan.backend.CtrlToFtqIO 23de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode} 245c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr} 2566b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo 26f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter 27f634c609SLingrui98import xiangshan.frontend.GlobalHistory 287447ee13SLingrui98import xiangshan.frontend.RASEntry 292b8b2e7aSWilliam Wangimport xiangshan.frontend.BPUCtrl 30e0d9a9f0SLingrui98import xiangshan.frontend.FtqPtr 31e0d9a9f0SLingrui98import xiangshan.frontend.FtqRead 32f06ca0bfSLingrui98import xiangshan.frontend.FtqToCtrlIO 33ceaf5e1fSLingrui98import utils._ 34b0ae3ac4SLinJiawei 352fbdb79bSLingrui98import scala.math.max 36d471c5aeSLingrui98import Chisel.experimental.chiselName 372225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 3888825c5cSYinan Xuimport chisel3.util.BitPat.bitPatToUInt 3914a6653fSLingrui98import xiangshan.frontend.Ftq_Redirect_SRAMEntry 401e3fad10SLinJiawei 41627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle { 423803411bSzhanglinjuan val valid = Bool() 4335fe60e8SLingrui98 val bits = gen.cloneType.asInstanceOf[T] 44fe211d16SLinJiawei 45627c0a19Szhanglinjuan override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type] 463803411bSzhanglinjuan} 473803411bSzhanglinjuan 48627c0a19Szhanglinjuanobject ValidUndirectioned { 49627c0a19Szhanglinjuan def apply[T <: Data](gen: T) = { 50627c0a19Szhanglinjuan new ValidUndirectioned[T](gen) 513803411bSzhanglinjuan } 523803411bSzhanglinjuan} 533803411bSzhanglinjuan 541b7adedcSWilliam Wangobject RSFeedbackType { 551b7adedcSWilliam Wang val tlbMiss = 0.U(2.W) 561b7adedcSWilliam Wang val mshrFull = 1.U(2.W) 571b7adedcSWilliam Wang val dataInvalid = 2.U(2.W) 581b7adedcSWilliam Wang 591b7adedcSWilliam Wang def apply() = UInt(2.W) 601b7adedcSWilliam Wang} 611b7adedcSWilliam Wang 622225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle { 63097c2688SLingrui98 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 64097c2688SLingrui98 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 65097c2688SLingrui98 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 6651b2a476Szoujr} 6751b2a476Szoujr 682225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 69f226232fSzhanglinjuan // from backend 7069cafcc9SLingrui98 val pc = UInt(VAddrBits.W) 71f226232fSzhanglinjuan // frontend -> backend -> frontend 72f226232fSzhanglinjuan val pd = new PreDecodeInfo 738a5e9243SLinJiawei val rasSp = UInt(log2Up(RasSize).W) 742e947747SLinJiawei val rasEntry = new RASEntry 758a5e9243SLinJiawei val hist = new GlobalHistory 76e690b0d3SLingrui98 val phist = UInt(PathHistoryLength.W) 77e7b046c5Szoujr val specCnt = Vec(numBr, UInt(10.W)) 785df4db2aSLingrui98 val phNewBit = Bool() 79fe3a74fcSYinan Xu // need pipeline update 808a597714Szoujr val br_hit = Bool() 812e947747SLinJiawei val predTaken = Bool() 82b2e6921eSLinJiawei val target = UInt(VAddrBits.W) 839a2e6b8aSLinJiawei val taken = Bool() 84b2e6921eSLinJiawei val isMisPred = Bool() 85d0527adfSzoujr val shift = UInt((log2Ceil(numBr)+1).W) 86d0527adfSzoujr val addIntoHist = Bool() 8714a6653fSLingrui98 8814a6653fSLingrui98 def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = { 8914a6653fSLingrui98 this.hist := entry.ghist 9014a6653fSLingrui98 this.phist := entry.phist 9114a6653fSLingrui98 this.phNewBit := entry.phNewBit 9214a6653fSLingrui98 this.rasSp := entry.rasSp 9314a6653fSLingrui98 this.rasEntry := entry.rasEntry 9414a6653fSLingrui98 this.specCnt := entry.specCnt 9514a6653fSLingrui98 this 9614a6653fSLingrui98 } 97b2e6921eSLinJiawei} 98b2e6921eSLinJiawei 995844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer 100de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle { 1015844fcf0SLinJiawei val instr = UInt(32.W) 1025844fcf0SLinJiawei val pc = UInt(VAddrBits.W) 103de169c67SWilliam Wang val foldpc = UInt(MemPredPCWidth.W) 104baf8def6SYinan Xu val exceptionVec = ExceptionVec() 1055844fcf0SLinJiawei val intrVec = Vec(12, Bool()) 106faf3cfa9SLinJiawei val pd = new PreDecodeInfo 107cde9280dSLinJiawei val pred_taken = Bool() 108c84054caSLinJiawei val crossPageIPFFix = Bool() 109de169c67SWilliam Wang val storeSetHit = Bool() // inst has been allocated an store set 1102b8b2e7aSWilliam Wang val loadWaitBit = Bool() // load inst should not be executed until all former store addr calcuated 111de169c67SWilliam Wang val ssid = UInt(SSIDWidth.W) 112884dbb3bSLinJiawei val ftqPtr = new FtqPtr 113884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 1146a2edd8aSWilliam Wang // This inst will flush all the pipe when it is the oldest inst in ROB, 1156a2edd8aSWilliam Wang // then replay from this inst itself 1166a2edd8aSWilliam Wang val replayInst = Bool() 1175844fcf0SLinJiawei} 1185844fcf0SLinJiawei 1192225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 1202ce29ed6SLinJiawei val isAddSub = Bool() // swap23 121dc597826SJiawei Lin val typeTagIn = UInt(1.W) 122dc597826SJiawei Lin val typeTagOut = UInt(1.W) 1232ce29ed6SLinJiawei val fromInt = Bool() 1242ce29ed6SLinJiawei val wflags = Bool() 1252ce29ed6SLinJiawei val fpWen = Bool() 1262ce29ed6SLinJiawei val fmaCmd = UInt(2.W) 1272ce29ed6SLinJiawei val div = Bool() 1282ce29ed6SLinJiawei val sqrt = Bool() 1292ce29ed6SLinJiawei val fcvt = Bool() 1302ce29ed6SLinJiawei val typ = UInt(2.W) 1312ce29ed6SLinJiawei val fmt = UInt(2.W) 1322ce29ed6SLinJiawei val ren3 = Bool() //TODO: remove SrcType.fp 133e6c6b64fSLinJiawei val rm = UInt(3.W) 134579b9f28SLinJiawei} 135579b9f28SLinJiawei 1365844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage 1372225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle { 13820e31bd1SYinan Xu val srcType = Vec(3, SrcType()) 13920e31bd1SYinan Xu val lsrc = Vec(3, UInt(5.W)) 1409a2e6b8aSLinJiawei val ldest = UInt(5.W) 1419a2e6b8aSLinJiawei val fuType = FuType() 1429a2e6b8aSLinJiawei val fuOpType = FuOpType() 1439a2e6b8aSLinJiawei val rfWen = Bool() 1449a2e6b8aSLinJiawei val fpWen = Bool() 1459a2e6b8aSLinJiawei val isXSTrap = Bool() 1462d366136SLinJiawei val noSpecExec = Bool() // wait forward 1472d366136SLinJiawei val blockBackward = Bool() // block backward 14845a56a29SZhangZifei val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 149db34a189SLinJiawei val isRVF = Bool() 150c2a8ae00SYikeZhou val selImm = SelImm() 151b0ae3ac4SLinJiawei val imm = UInt(ImmUnion.maxLen.W) 152a3edac52SYinan Xu val commitType = CommitType() 153579b9f28SLinJiawei val fpu = new FPUCtrlSignals 154aac4464eSYinan Xu val isMove = Bool() 155d4aca96cSlqre val singleStep = Bool() 15688825c5cSYinan Xu val isFused = UInt(3.W) 157be25371aSYikeZhou 15888825c5cSYinan Xu private def allSignals = srcType ++ Seq(fuType, fuOpType, rfWen, fpWen, 159c2a8ae00SYikeZhou isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm) 16088825c5cSYinan Xu 16188825c5cSYinan Xu def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = { 16288825c5cSYinan Xu val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table) 16388825c5cSYinan Xu allSignals zip decoder foreach { case (s, d) => s := d } 1644d24c305SYikeZhou commitType := DontCare 165be25371aSYikeZhou this 166be25371aSYikeZhou } 16788825c5cSYinan Xu 16888825c5cSYinan Xu def decode(bit: List[BitPat]): CtrlSignals = { 16988825c5cSYinan Xu allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d } 17088825c5cSYinan Xu this 17188825c5cSYinan Xu } 1725844fcf0SLinJiawei} 1735844fcf0SLinJiawei 1742225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle { 1755844fcf0SLinJiawei val cf = new CtrlFlow 1765844fcf0SLinJiawei val ctrl = new CtrlSignals 1775844fcf0SLinJiawei} 1785844fcf0SLinJiawei 1792225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle { 1808b8e745dSYikeZhou val eliminatedMove = Bool() 181ba4100caSYinan Xu // val fetchTime = UInt(64.W) 182ba4100caSYinan Xu val renameTime = UInt(64.W) 1837cef916fSYinan Xu val dispatchTime = UInt(64.W) 184ba4100caSYinan Xu val issueTime = UInt(64.W) 185ba4100caSYinan Xu val writebackTime = UInt(64.W) 1867cef916fSYinan Xu // val commitTime = UInt(64.W) 187ba4100caSYinan Xu} 188ba4100caSYinan Xu 18948d1472eSWilliam Wang// Separate LSQ 1902225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle { 191915c0dd4SYinan Xu val lqIdx = new LqPtr 1925c1ae31bSYinan Xu val sqIdx = new SqPtr 19324726fbfSWilliam Wang} 19424726fbfSWilliam Wang 195b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage 1962225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl { 19720e31bd1SYinan Xu val srcState = Vec(3, SrcState()) 19820e31bd1SYinan Xu val psrc = Vec(3, UInt(PhyRegIdxWidth.W)) 19920e31bd1SYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 20020e31bd1SYinan Xu val old_pdest = UInt(PhyRegIdxWidth.W) 20142707b3bSYinan Xu val roqIdx = new RoqPtr 202fe6452fcSYinan Xu val lqIdx = new LqPtr 203fe6452fcSYinan Xu val sqIdx = new SqPtr 204355fcd20SAllen val diffTestDebugLrScValid = Bool() 2058b8e745dSYikeZhou val eliminatedMove = Bool() 2067cef916fSYinan Xu val debugInfo = new PerfDebugInfo 20783596a03SYinan Xu def needRfRPort(index: Int, rfType: Int, ignoreState: Boolean = true) : Bool = { 208a338f247SYinan Xu (index, rfType) match { 20920e31bd1SYinan Xu case (0, 0) => ctrl.srcType(0) === SrcType.reg && ctrl.lsrc(0) =/= 0.U && (srcState(0) === SrcState.rdy || ignoreState.B) 21020e31bd1SYinan Xu case (1, 0) => ctrl.srcType(1) === SrcType.reg && ctrl.lsrc(1) =/= 0.U && (srcState(1) === SrcState.rdy || ignoreState.B) 21120e31bd1SYinan Xu case (0, 1) => ctrl.srcType(0) === SrcType.fp && (srcState(0) === SrcState.rdy || ignoreState.B) 21220e31bd1SYinan Xu case (1, 1) => ctrl.srcType(1) === SrcType.fp && (srcState(1) === SrcState.rdy || ignoreState.B) 21320e31bd1SYinan Xu case (2, 1) => ctrl.srcType(2) === SrcType.fp && (srcState(2) === SrcState.rdy || ignoreState.B) 214a338f247SYinan Xu case _ => false.B 215a338f247SYinan Xu } 216a338f247SYinan Xu } 2175c7674feSYinan Xu def srcIsReady: Vec[Bool] = { 218*c9ebdf90SYinan Xu VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy }) 2195c7674feSYinan Xu } 2205c7674feSYinan Xu def doWriteIntRf: Bool = ctrl.rfWen && ctrl.ldest =/= 0.U 2215c7674feSYinan Xu def doWriteFpRf: Bool = ctrl.fpWen 2225844fcf0SLinJiawei} 2235844fcf0SLinJiawei 224de169c67SWilliam Wangclass MicroOpRbExt(implicit p: Parameters) extends XSBundle { 225de169c67SWilliam Wang val uop = new MicroOp 226de169c67SWilliam Wang val flag = UInt(1.W) 227de169c67SWilliam Wang} 228de169c67SWilliam Wang 2292225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle { 23042707b3bSYinan Xu val roqIdx = new RoqPtr 23136d7aed5SLinJiawei val ftqIdx = new FtqPtr 23236d7aed5SLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 233bfb958a3SYinan Xu val level = RedirectLevel() 234bfb958a3SYinan Xu val interrupt = Bool() 235c778d2afSLinJiawei val cfiUpdate = new CfiUpdateInfo 236bfb958a3SYinan Xu 237de169c67SWilliam Wang val stFtqIdx = new FtqPtr // for load violation predict 238de169c67SWilliam Wang val stFtqOffset = UInt(log2Up(PredictWidth).W) 239fe211d16SLinJiawei 2402d7c7105SYinan Xu // def isUnconditional() = RedirectLevel.isUnconditional(level) 241bfb958a3SYinan Xu def flushItself() = RedirectLevel.flushItself(level) 2422d7c7105SYinan Xu // def isException() = RedirectLevel.isException(level) 243a25b1bceSLinJiawei} 244a25b1bceSLinJiawei 2452225d46eSJiawei Linclass Dp1ToDp2IO(implicit p: Parameters) extends XSBundle { 2465c7b21d5SYinan Xu val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 2475c7b21d5SYinan Xu val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp)) 2485c7b21d5SYinan Xu val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp)) 2495844fcf0SLinJiawei} 2505844fcf0SLinJiawei 2512225d46eSJiawei Linclass ReplayPregReq(implicit p: Parameters) extends XSBundle { 25260deaca2SLinJiawei // NOTE: set isInt and isFp both to 'false' when invalid 25360deaca2SLinJiawei val isInt = Bool() 25460deaca2SLinJiawei val isFp = Bool() 25560deaca2SLinJiawei val preg = UInt(PhyRegIdxWidth.W) 2565844fcf0SLinJiawei} 2575844fcf0SLinJiawei 2582225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle { 25972235fa4SWilliam Wang val isMMIO = Bool() 2608635f18fSwangkaifan val isPerfCnt = Bool() 2618b91a337SWilliam Wang val paddr = UInt(PAddrBits.W) 262e402d94eSWilliam Wang} 2635844fcf0SLinJiawei 2642225d46eSJiawei Linclass ExuInput(implicit p: Parameters) extends XSBundle { 2655844fcf0SLinJiawei val uop = new MicroOp 266dc597826SJiawei Lin val src = Vec(3, UInt(XLEN.W)) 2675844fcf0SLinJiawei} 2685844fcf0SLinJiawei 2692225d46eSJiawei Linclass ExuOutput(implicit p: Parameters) extends XSBundle { 2705844fcf0SLinJiawei val uop = new MicroOp 271dc597826SJiawei Lin val data = UInt(XLEN.W) 2727f1506e3SLinJiawei val fflags = UInt(5.W) 27397cfa7f8SLinJiawei val redirectValid = Bool() 27497cfa7f8SLinJiawei val redirect = new Redirect 275e402d94eSWilliam Wang val debug = new DebugBundle 2765844fcf0SLinJiawei} 2775844fcf0SLinJiawei 2782225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 27935bfeecbSYinan Xu val mtip = Input(Bool()) 28035bfeecbSYinan Xu val msip = Input(Bool()) 28135bfeecbSYinan Xu val meip = Input(Bool()) 282d4aca96cSlqre val debug = Input(Bool()) 2835844fcf0SLinJiawei} 2845844fcf0SLinJiawei 2852225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle { 28635bfeecbSYinan Xu val exception = Flipped(ValidIO(new MicroOp)) 2873fa7b737SYinan Xu val isInterrupt = Input(Bool()) 28835bfeecbSYinan Xu val memExceptionVAddr = Input(UInt(VAddrBits.W)) 28935bfeecbSYinan Xu val trapTarget = Output(UInt(VAddrBits.W)) 29035bfeecbSYinan Xu val externalInterrupt = new ExternalInterruptIO 29135bfeecbSYinan Xu val interrupt = Output(Bool()) 29235bfeecbSYinan Xu} 29335bfeecbSYinan Xu 2942225d46eSJiawei Linclass ExceptionInfo(implicit p: Parameters) extends XSBundle { 2953a474d38SYinan Xu val uop = new MicroOp 2963a474d38SYinan Xu val isInterrupt = Bool() 2973a474d38SYinan Xu} 2983a474d38SYinan Xu 2992225d46eSJiawei Linclass RoqCommitInfo(implicit p: Parameters) extends XSBundle { 300fe6452fcSYinan Xu val ldest = UInt(5.W) 301fe6452fcSYinan Xu val rfWen = Bool() 302fe6452fcSYinan Xu val fpWen = Bool() 303a1fd7de4SLinJiawei val wflags = Bool() 304fe6452fcSYinan Xu val commitType = CommitType() 3058b8e745dSYikeZhou val eliminatedMove = Bool() 306fe6452fcSYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 307fe6452fcSYinan Xu val old_pdest = UInt(PhyRegIdxWidth.W) 308884dbb3bSLinJiawei val ftqIdx = new FtqPtr 309884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 31088825c5cSYinan Xu val isFused = UInt(3.W) 3115844fcf0SLinJiawei 3129ecac1e8SYinan Xu // these should be optimized for synthesis verilog 3139ecac1e8SYinan Xu val pc = UInt(VAddrBits.W) 314fe6452fcSYinan Xu} 3155844fcf0SLinJiawei 3162225d46eSJiawei Linclass RoqCommitIO(implicit p: Parameters) extends XSBundle { 31721e7a6c5SYinan Xu val isWalk = Output(Bool()) 31821e7a6c5SYinan Xu val valid = Vec(CommitWidth, Output(Bool())) 319fe6452fcSYinan Xu val info = Vec(CommitWidth, Output(new RoqCommitInfo)) 32021e7a6c5SYinan Xu 32121e7a6c5SYinan Xu def hasWalkInstr = isWalk && valid.asUInt.orR 322fe211d16SLinJiawei 32321e7a6c5SYinan Xu def hasCommitInstr = !isWalk && valid.asUInt.orR 3245844fcf0SLinJiawei} 3255844fcf0SLinJiawei 3261b7adedcSWilliam Wangclass RSFeedback(implicit p: Parameters) extends XSBundle { 32764e8d8bdSZhangZifei val rsIdx = UInt(log2Up(IssQueSize).W) 328037a131fSWilliam Wang val hit = Bool() 32962f57a35SLemover val flushState = Bool() 3301b7adedcSWilliam Wang val sourceType = RSFeedbackType() 331037a131fSWilliam Wang} 332037a131fSWilliam Wang 333f06ca0bfSLingrui98class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle { 3345844fcf0SLinJiawei // to backend end 3355844fcf0SLinJiawei val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 336f06ca0bfSLingrui98 val fromFtq = new FtqToCtrlIO 3375844fcf0SLinJiawei // from backend 338f06ca0bfSLingrui98 val toFtq = Flipped(new CtrlToFtqIO) 3391e3fad10SLinJiawei} 340fcff7e94SZhangZifei 3412225d46eSJiawei Linclass TlbCsrBundle(implicit p: Parameters) extends XSBundle { 342fcff7e94SZhangZifei val satp = new Bundle { 343fcff7e94SZhangZifei val mode = UInt(4.W) // TODO: may change number to parameter 344fcff7e94SZhangZifei val asid = UInt(16.W) 345fcff7e94SZhangZifei val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen 346fcff7e94SZhangZifei } 347fcff7e94SZhangZifei val priv = new Bundle { 348fcff7e94SZhangZifei val mxr = Bool() 349fcff7e94SZhangZifei val sum = Bool() 350fcff7e94SZhangZifei val imode = UInt(2.W) 351fcff7e94SZhangZifei val dmode = UInt(2.W) 352fcff7e94SZhangZifei } 3538fc4e859SZhangZifei 3548fc4e859SZhangZifei override def toPrintable: Printable = { 3558fc4e859SZhangZifei p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 3568fc4e859SZhangZifei p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 3578fc4e859SZhangZifei } 358fcff7e94SZhangZifei} 359fcff7e94SZhangZifei 3602225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle { 361fcff7e94SZhangZifei val valid = Bool() 362fcff7e94SZhangZifei val bits = new Bundle { 363fcff7e94SZhangZifei val rs1 = Bool() 364fcff7e94SZhangZifei val rs2 = Bool() 365fcff7e94SZhangZifei val addr = UInt(VAddrBits.W) 366fcff7e94SZhangZifei } 3678fc4e859SZhangZifei 3688fc4e859SZhangZifei override def toPrintable: Printable = { 3698fc4e859SZhangZifei p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}" 3708fc4e859SZhangZifei } 371fcff7e94SZhangZifei} 372a165bd69Swangkaifan 373de169c67SWilliam Wang// Bundle for load violation predictor updating 374de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 3752b8b2e7aSWilliam Wang val valid = Bool() 376de169c67SWilliam Wang 377de169c67SWilliam Wang // wait table update 378de169c67SWilliam Wang val waddr = UInt(MemPredPCWidth.W) 3792b8b2e7aSWilliam Wang val wdata = Bool() // true.B by default 380de169c67SWilliam Wang 381de169c67SWilliam Wang // store set update 382de169c67SWilliam Wang // by default, ldpc/stpc should be xor folded 383de169c67SWilliam Wang val ldpc = UInt(MemPredPCWidth.W) 384de169c67SWilliam Wang val stpc = UInt(MemPredPCWidth.W) 3852b8b2e7aSWilliam Wang} 3862b8b2e7aSWilliam Wang 3872225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 3882b8b2e7aSWilliam Wang // Prefetcher 3892b8b2e7aSWilliam Wang val l1plus_pf_enable = Output(Bool()) 3902b8b2e7aSWilliam Wang val l2_pf_enable = Output(Bool()) 391f3f22d72SYinan Xu // Labeled XiangShan 3922b8b2e7aSWilliam Wang val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter 393f3f22d72SYinan Xu // Load violation predictor 3942b8b2e7aSWilliam Wang val lvpred_disable = Output(Bool()) 3952b8b2e7aSWilliam Wang val no_spec_load = Output(Bool()) 3962b8b2e7aSWilliam Wang val waittable_timeout = Output(UInt(5.W)) 397f3f22d72SYinan Xu // Branch predictor 3982b8b2e7aSWilliam Wang val bp_ctrl = Output(new BPUCtrl) 399f3f22d72SYinan Xu // Memory Block 400f3f22d72SYinan Xu val sbuffer_threshold = Output(UInt(4.W)) 401aac4464eSYinan Xu // Rename 402aac4464eSYinan Xu val move_elim_enable = Output(Bool()) 4032b8b2e7aSWilliam Wang} 404