xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision c4b56310b9f6edacd8ee65bfdd7dd13b260a316c)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
171e3fad10SLinJiaweipackage xiangshan
181e3fad10SLinJiawei
1983ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters
201e3fad10SLinJiaweiimport chisel3._
213b739f49SXuan Huimport chisel3.util.BitPat.bitPatToUInt
225844fcf0SLinJiaweiimport chisel3.util._
233b739f49SXuan Huimport utility._
243b739f49SXuan Huimport utils._
25de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode}
26730cfbc0SXuan Huimport xiangshan.backend.fu.FuType
273b739f49SXuan Huimport xiangshan.backend.rob.RobPtr
283b739f49SXuan Huimport xiangshan.frontend._
295c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr}
30730cfbc0SXuan Huimport xiangshan.backend.Bundles.DynInst
31b52d4755SXuan Huimport xiangshan.backend.fu.vector.Bundles.VType
3266b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo
33f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter
34bcce877bSYinan Xuimport xiangshan.frontend.{AllFoldedHistories, CircularGlobalHistory, GlobalHistory, ShiftingGlobalHistory}
357447ee13SLingrui98import xiangshan.frontend.RASEntry
362b8b2e7aSWilliam Wangimport xiangshan.frontend.BPUCtrl
37e0d9a9f0SLingrui98import xiangshan.frontend.FtqPtr
38c2ad24ebSLingrui98import xiangshan.frontend.CGHPtr
39e0d9a9f0SLingrui98import xiangshan.frontend.FtqRead
40f06ca0bfSLingrui98import xiangshan.frontend.FtqToCtrlIO
41b9e121dfShappy-lximport xiangshan.cache.HasDCacheParameters
42ceaf5e1fSLingrui98import utils._
433c02ee8fSwakafaimport utility._
44b0ae3ac4SLinJiawei
452fbdb79bSLingrui98import scala.math.max
468891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
4788825c5cSYinan Xuimport chisel3.util.BitPat.bitPatToUInt
487720a376Sfdyimport chisel3.util.experimental.decode.EspressoMinimizer
4924519898SXuan Huimport xiangshan.backend.CtrlToFtqIO
50b6982e83SLemoverimport xiangshan.backend.fu.PMPEntry
5114a6653fSLingrui98import xiangshan.frontend.Ftq_Redirect_SRAMEntry
52dd6c0695SLingrui98import xiangshan.frontend.AllFoldedHistories
5367402d75SLingrui98import xiangshan.frontend.AllAheadFoldedHistoryOldestBits
54c89b4642SGuokai Chenimport xiangshan.frontend.RASPtr
551e3fad10SLinJiawei
56627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
573803411bSzhanglinjuan  val valid = Bool()
5835fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
59fe211d16SLinJiawei
603803411bSzhanglinjuan}
613803411bSzhanglinjuan
62627c0a19Szhanglinjuanobject ValidUndirectioned {
63627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
64627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
653803411bSzhanglinjuan  }
663803411bSzhanglinjuan}
673803411bSzhanglinjuan
681b7adedcSWilliam Wangobject RSFeedbackType {
6968d13085SXuan Hu  val lrqFull         = 0.U(4.W)
7068d13085SXuan Hu  val tlbMiss         = 1.U(4.W)
7168d13085SXuan Hu  val mshrFull        = 2.U(4.W)
7268d13085SXuan Hu  val dataInvalid     = 3.U(4.W)
7368d13085SXuan Hu  val bankConflict    = 4.U(4.W)
7468d13085SXuan Hu  val ldVioCheckRedo  = 5.U(4.W)
75cee61068Sfdy  val feedbackInvalid = 7.U(4.W)
76cee61068Sfdy  val issueSuccess    = 8.U(4.W)
77ea0f92d8Sczw  val rfArbitFail     = 9.U(4.W)
78ea0f92d8Sczw  val fuIdle          = 10.U(4.W)
79ea0f92d8Sczw  val fuBusy          = 11.U(4.W)
80d54d930bSfdy  val fuUncertain     = 12.U(4.W)
81eb163ef0SHaojin Tang
8268d13085SXuan Hu  val allTypes = 16
83cee61068Sfdy  def apply() = UInt(4.W)
8461d88ec2SXuan Hu
8561d88ec2SXuan Hu  def isStageSuccess(feedbackType: UInt) = {
86cee61068Sfdy    feedbackType === issueSuccess
8761d88ec2SXuan Hu  }
88965c972cSXuan Hu
89965c972cSXuan Hu  def isBlocked(feedbackType: UInt) = {
90b536da76SXuan Hu    feedbackType === rfArbitFail || feedbackType === fuBusy || feedbackType >= lrqFull && feedbackType <= feedbackInvalid
91965c972cSXuan Hu  }
921b7adedcSWilliam Wang}
931b7adedcSWilliam Wang
942225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle {
95097c2688SLingrui98  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
96097c2688SLingrui98  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
97097c2688SLingrui98  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
9851b2a476Szoujr}
9951b2a476Szoujr
1002225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter {
101f226232fSzhanglinjuan  // from backend
10269cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
103f226232fSzhanglinjuan  // frontend -> backend -> frontend
104f226232fSzhanglinjuan  val pd = new PreDecodeInfo
105c89b4642SGuokai Chen  val ssp = UInt(log2Up(RasSize).W)
106c89b4642SGuokai Chen  val sctr = UInt(log2Up(RasCtrSize).W)
107c89b4642SGuokai Chen  val TOSW = new RASPtr
108c89b4642SGuokai Chen  val TOSR = new RASPtr
109c89b4642SGuokai Chen  val NOS = new RASPtr
110c89b4642SGuokai Chen  val topAddr = UInt(VAddrBits.W)
111c2ad24ebSLingrui98  // val hist = new ShiftingGlobalHistory
112dd6c0695SLingrui98  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
11367402d75SLingrui98  val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)
11467402d75SLingrui98  val lastBrNumOH = UInt((numBr+1).W)
115b37e4b45SLingrui98  val ghr = UInt(UbtbGHRLength.W)
116c2ad24ebSLingrui98  val histPtr = new CGHPtr
117e7b046c5Szoujr  val specCnt = Vec(numBr, UInt(10.W))
118fe3a74fcSYinan Xu  // need pipeline update
119d2b20d1aSTang Haojin  val br_hit = Bool() // if in ftb entry
120d2b20d1aSTang Haojin  val jr_hit = Bool() // if in ftb entry
121d2b20d1aSTang Haojin  val sc_hit = Bool() // if used in ftb entry, invalid if !br_hit
1222e947747SLinJiawei  val predTaken = Bool()
123b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
1249a2e6b8aSLinJiawei  val taken = Bool()
125b2e6921eSLinJiawei  val isMisPred = Bool()
126d0527adfSzoujr  val shift = UInt((log2Ceil(numBr)+1).W)
127d0527adfSzoujr  val addIntoHist = Bool()
12814a6653fSLingrui98
12914a6653fSLingrui98  def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = {
130c2ad24ebSLingrui98    // this.hist := entry.ghist
131dd6c0695SLingrui98    this.folded_hist := entry.folded_hist
13267402d75SLingrui98    this.lastBrNumOH := entry.lastBrNumOH
13367402d75SLingrui98    this.afhob := entry.afhob
134c2ad24ebSLingrui98    this.histPtr := entry.histPtr
135c89b4642SGuokai Chen    this.ssp := entry.ssp
136c89b4642SGuokai Chen    this.sctr := entry.sctr
137c89b4642SGuokai Chen    this.TOSW := entry.TOSW
138c89b4642SGuokai Chen    this.TOSR := entry.TOSR
139c89b4642SGuokai Chen    this.NOS := entry.NOS
140c89b4642SGuokai Chen    this.topAddr := entry.topAddr
14114a6653fSLingrui98    this
14214a6653fSLingrui98  }
143b2e6921eSLinJiawei}
144b2e6921eSLinJiawei
1455844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
146de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle {
1475844fcf0SLinJiawei  val instr = UInt(32.W)
1485844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
149de169c67SWilliam Wang  val foldpc = UInt(MemPredPCWidth.W)
150baf8def6SYinan Xu  val exceptionVec = ExceptionVec()
15172951335SLi Qianruo  val trigger = new TriggerCf
152faf3cfa9SLinJiawei  val pd = new PreDecodeInfo
153cde9280dSLinJiawei  val pred_taken = Bool()
154c84054caSLinJiawei  val crossPageIPFFix = Bool()
155de169c67SWilliam Wang  val storeSetHit = Bool() // inst has been allocated an store set
156980c1bc3SWilliam Wang  val waitForRobIdx = new RobPtr // store set predicted previous store robIdx
157d1fe0262SWilliam Wang  // Load wait is needed
158d1fe0262SWilliam Wang  // load inst will not be executed until former store (predicted by mdp) addr calcuated
159d1fe0262SWilliam Wang  val loadWaitBit = Bool()
160d1fe0262SWilliam Wang  // If (loadWaitBit && loadWaitStrict), strict load wait is needed
161d1fe0262SWilliam Wang  // load inst will not be executed until ALL former store addr calcuated
162d1fe0262SWilliam Wang  val loadWaitStrict = Bool()
163de169c67SWilliam Wang  val ssid = UInt(SSIDWidth.W)
164884dbb3bSLinJiawei  val ftqPtr = new FtqPtr
165884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
1665844fcf0SLinJiawei}
1675844fcf0SLinJiawei
16872951335SLi Qianruo
1692225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle {
1702ce29ed6SLinJiawei  val isAddSub = Bool() // swap23
171dc597826SJiawei Lin  val typeTagIn = UInt(1.W)
172dc597826SJiawei Lin  val typeTagOut = UInt(1.W)
1732ce29ed6SLinJiawei  val fromInt = Bool()
1742ce29ed6SLinJiawei  val wflags = Bool()
1752ce29ed6SLinJiawei  val fpWen = Bool()
1762ce29ed6SLinJiawei  val fmaCmd = UInt(2.W)
1772ce29ed6SLinJiawei  val div = Bool()
1782ce29ed6SLinJiawei  val sqrt = Bool()
1792ce29ed6SLinJiawei  val fcvt = Bool()
1802ce29ed6SLinJiawei  val typ = UInt(2.W)
1812ce29ed6SLinJiawei  val fmt = UInt(2.W)
1822ce29ed6SLinJiawei  val ren3 = Bool() //TODO: remove SrcType.fp
183e6c6b64fSLinJiawei  val rm = UInt(3.W)
184579b9f28SLinJiawei}
185579b9f28SLinJiawei
1865844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
1872225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle {
1888744445eSMaxpicca-Li  val debug_globalID = UInt(XLEN.W)
189a7a8a6ccSHaojin Tang  val srcType = Vec(4, SrcType())
190a7a8a6ccSHaojin Tang  val lsrc = Vec(4, UInt(6.W))
191a7a8a6ccSHaojin Tang  val ldest = UInt(6.W)
1929a2e6b8aSLinJiawei  val fuType = FuType()
1939a2e6b8aSLinJiawei  val fuOpType = FuOpType()
1949a2e6b8aSLinJiawei  val rfWen = Bool()
1959a2e6b8aSLinJiawei  val fpWen = Bool()
196deb6421eSHaojin Tang  val vecWen = Bool()
1979a2e6b8aSLinJiawei  val isXSTrap = Bool()
1982d366136SLinJiawei  val noSpecExec = Bool() // wait forward
1992d366136SLinJiawei  val blockBackward = Bool() // block backward
20045a56a29SZhangZifei  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
201e2695e90SzhanglyGit  val uopSplitType = UopSplitType()
202c2a8ae00SYikeZhou  val selImm = SelImm()
203b0ae3ac4SLinJiawei  val imm = UInt(ImmUnion.maxLen.W)
204a3edac52SYinan Xu  val commitType = CommitType()
205579b9f28SLinJiawei  val fpu = new FPUCtrlSignals
2064aa9ed34Sfdy  val uopIdx = UInt(5.W)
207aac4464eSYinan Xu  val isMove = Bool()
2081a0debc2Sczw  val vm = Bool()
209d4aca96cSlqre  val singleStep = Bool()
210c88c3a2aSYinan Xu  // This inst will flush all the pipe when it is the oldest inst in ROB,
211c88c3a2aSYinan Xu  // then replay from this inst itself
212c88c3a2aSYinan Xu  val replayInst = Bool()
21389cc69c1STang Haojin  val canRobCompress = Bool()
214be25371aSYikeZhou
21557a10886SXuan Hu  private def allSignals = srcType.take(3) ++ Seq(fuType, fuOpType, rfWen, fpWen, vecWen,
21689cc69c1STang Haojin    isXSTrap, noSpecExec, blockBackward, flushPipe, canRobCompress, uopSplitType, selImm)
21788825c5cSYinan Xu
21888825c5cSYinan Xu  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = {
2197720a376Sfdy    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table, EspressoMinimizer)
22088825c5cSYinan Xu    allSignals zip decoder foreach { case (s, d) => s := d }
2214d24c305SYikeZhou    commitType := DontCare
222be25371aSYikeZhou    this
223be25371aSYikeZhou  }
22488825c5cSYinan Xu
22588825c5cSYinan Xu  def decode(bit: List[BitPat]): CtrlSignals = {
22688825c5cSYinan Xu    allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d }
22788825c5cSYinan Xu    this
22888825c5cSYinan Xu  }
229b6900d94SYinan Xu
2303b739f49SXuan Hu  def isWFI: Bool = fuType === FuType.csr.U && fuOpType === CSROpType.wfi
231f025d715SYinan Xu  def isSoftPrefetch: Bool = {
2323b739f49SXuan Hu    fuType === FuType.alu.U && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U
233f025d715SYinan Xu  }
2343d1a5c10Smaliao  def needWriteRf: Bool = (rfWen && ldest =/= 0.U) || fpWen || vecWen
2355844fcf0SLinJiawei}
2365844fcf0SLinJiawei
2372225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle {
2385844fcf0SLinJiawei  val cf = new CtrlFlow
2395844fcf0SLinJiawei  val ctrl = new CtrlSignals
2405844fcf0SLinJiawei}
2415844fcf0SLinJiawei
2422225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle {
2438b8e745dSYikeZhou  val eliminatedMove = Bool()
2448744445eSMaxpicca-Li  // val fetchTime = UInt(XLEN.W)
245ebb8ebf8SYinan Xu  val renameTime = UInt(XLEN.W)
246ebb8ebf8SYinan Xu  val dispatchTime = UInt(XLEN.W)
247ebb8ebf8SYinan Xu  val enqRsTime = UInt(XLEN.W)
248ebb8ebf8SYinan Xu  val selectTime = UInt(XLEN.W)
249ebb8ebf8SYinan Xu  val issueTime = UInt(XLEN.W)
250ebb8ebf8SYinan Xu  val writebackTime = UInt(XLEN.W)
2518744445eSMaxpicca-Li  // val commitTime = UInt(XLEN.W)
2528744445eSMaxpicca-Li  val runahead_checkpoint_id = UInt(XLEN.W)
2538744445eSMaxpicca-Li  val tlbFirstReqTime = UInt(XLEN.W)
2548744445eSMaxpicca-Li  val tlbRespTime = UInt(XLEN.W) // when getting hit result (including delay in L2TLB hit)
255ba4100caSYinan Xu}
256ba4100caSYinan Xu
25748d1472eSWilliam Wang// Separate LSQ
2582225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle {
259915c0dd4SYinan Xu  val lqIdx = new LqPtr
2605c1ae31bSYinan Xu  val sqIdx = new SqPtr
26124726fbfSWilliam Wang}
26224726fbfSWilliam Wang
263b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
2642225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl {
265a7a8a6ccSHaojin Tang  val srcState = Vec(4, SrcState())
266a7a8a6ccSHaojin Tang  val psrc = Vec(4, UInt(PhyRegIdxWidth.W))
26720e31bd1SYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
2689aca92b9SYinan Xu  val robIdx = new RobPtr
26989cc69c1STang Haojin  val instrSize = UInt(log2Ceil(RenameWidth + 1).W)
270fe6452fcSYinan Xu  val lqIdx = new LqPtr
271fe6452fcSYinan Xu  val sqIdx = new SqPtr
2728b8e745dSYikeZhou  val eliminatedMove = Bool()
273fa7f2c26STang Haojin  val snapshot = Bool()
2747cef916fSYinan Xu  val debugInfo = new PerfDebugInfo
2759d4e1137SYinan Xu  def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = {
276bcce877bSYinan Xu    val stateReady = srcState(index) === SrcState.rdy || ignoreState.B
277bcce877bSYinan Xu    val readReg = if (isFp) {
278bcce877bSYinan Xu      ctrl.srcType(index) === SrcType.fp
279bcce877bSYinan Xu    } else {
280bcce877bSYinan Xu      ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U
281a338f247SYinan Xu    }
282bcce877bSYinan Xu    readReg && stateReady
283a338f247SYinan Xu  }
2845c7674feSYinan Xu  def srcIsReady: Vec[Bool] = {
285c9ebdf90SYinan Xu    VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy })
2865c7674feSYinan Xu  }
2876ab6918fSYinan Xu  def clearExceptions(
2886ab6918fSYinan Xu    exceptionBits: Seq[Int] = Seq(),
2896ab6918fSYinan Xu    flushPipe: Boolean = false,
2906ab6918fSYinan Xu    replayInst: Boolean = false
2916ab6918fSYinan Xu  ): MicroOp = {
2926ab6918fSYinan Xu    cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B)
2936ab6918fSYinan Xu    if (!flushPipe) { ctrl.flushPipe := false.B }
2946ab6918fSYinan Xu    if (!replayInst) { ctrl.replayInst := false.B }
295c88c3a2aSYinan Xu    this
296c88c3a2aSYinan Xu  }
2975844fcf0SLinJiawei}
2985844fcf0SLinJiawei
29946f74b57SHaojin Tangclass XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle {
300dfb4c5dcSXuan Hu  val uop = new DynInst
30146f74b57SHaojin Tang}
30246f74b57SHaojin Tang
30346f74b57SHaojin Tangclass MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp {
304de169c67SWilliam Wang  val flag = UInt(1.W)
3051e3fad10SLinJiawei}
306de169c67SWilliam Wang
3072225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle {
30814a67055Ssfencevma  val isRVC = Bool()
3099aca92b9SYinan Xu  val robIdx = new RobPtr
31036d7aed5SLinJiawei  val ftqIdx = new FtqPtr
31136d7aed5SLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
312bfb958a3SYinan Xu  val level = RedirectLevel()
313bfb958a3SYinan Xu  val interrupt = Bool()
314c778d2afSLinJiawei  val cfiUpdate = new CfiUpdateInfo
315bfb958a3SYinan Xu
316de169c67SWilliam Wang  val stFtqIdx = new FtqPtr // for load violation predict
317de169c67SWilliam Wang  val stFtqOffset = UInt(log2Up(PredictWidth).W)
318fe211d16SLinJiawei
31920edb3f7SWilliam Wang  val debug_runahead_checkpoint_id = UInt(64.W)
320d2b20d1aSTang Haojin  val debugIsCtrl = Bool()
321d2b20d1aSTang Haojin  val debugIsMemVio = Bool()
32220edb3f7SWilliam Wang
323bfb958a3SYinan Xu  def flushItself() = RedirectLevel.flushItself(level)
324a25b1bceSLinJiawei}
325a25b1bceSLinJiawei
3262b4e8253SYinan Xuclass ResetPregStateReq(implicit p: Parameters) extends XSBundle {
32760deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
32860deaca2SLinJiawei  val isInt = Bool()
32960deaca2SLinJiawei  val isFp = Bool()
33060deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
3315844fcf0SLinJiawei}
3325844fcf0SLinJiawei
3332225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle {
33472235fa4SWilliam Wang  val isMMIO = Bool()
3358635f18fSwangkaifan  val isPerfCnt = Bool()
3368b91a337SWilliam Wang  val paddr = UInt(PAddrBits.W)
33772951335SLi Qianruo  val vaddr = UInt(VAddrBits.W)
3388744445eSMaxpicca-Li  /* add L/S inst info in EXU */
3398744445eSMaxpicca-Li  // val L1toL2TlbLatency = UInt(XLEN.W)
3408744445eSMaxpicca-Li  // val levelTlbHit = UInt(2.W)
341e402d94eSWilliam Wang}
3425844fcf0SLinJiawei
3432225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle {
34435bfeecbSYinan Xu  val mtip = Input(Bool())
34535bfeecbSYinan Xu  val msip = Input(Bool())
34635bfeecbSYinan Xu  val meip = Input(Bool())
347b3d79b37SYinan Xu  val seip = Input(Bool())
348d4aca96cSlqre  val debug = Input(Bool())
3495844fcf0SLinJiawei}
3505844fcf0SLinJiawei
3512225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle {
3523b739f49SXuan Hu  val exception = Flipped(ValidIO(new DynInst))
3533fa7b737SYinan Xu  val isInterrupt = Input(Bool())
35435bfeecbSYinan Xu  val memExceptionVAddr = Input(UInt(VAddrBits.W))
35535bfeecbSYinan Xu  val trapTarget = Output(UInt(VAddrBits.W))
35635bfeecbSYinan Xu  val externalInterrupt = new ExternalInterruptIO
35735bfeecbSYinan Xu  val interrupt = Output(Bool())
35835bfeecbSYinan Xu}
35935bfeecbSYinan Xu
360a8db15d8Sfdyclass RabCommitInfo(implicit p: Parameters) extends XSBundle {
361a8db15d8Sfdy  val ldest = UInt(6.W)
362a8db15d8Sfdy  val pdest = UInt(PhyRegIdxWidth.W)
363a8db15d8Sfdy  val old_pdest = UInt(PhyRegIdxWidth.W)
364a8db15d8Sfdy  val rfWen = Bool()
365a8db15d8Sfdy  val fpWen = Bool()
366a8db15d8Sfdy  val vecWen = Bool()
367a8db15d8Sfdy  val isMove = Bool()
368a8db15d8Sfdy}
369a8db15d8Sfdy
370a8db15d8Sfdyclass RabCommitIO(implicit p: Parameters) extends XSBundle {
371a8db15d8Sfdy  val isCommit = Bool()
372a8db15d8Sfdy  val commitValid = Vec(CommitWidth, Bool())
373a8db15d8Sfdy  val isWalk = Bool()
374a8db15d8Sfdy  val walkValid = Vec(CommitWidth, Bool())
375a8db15d8Sfdy  val info = Vec(CommitWidth, new RabCommitInfo)
376a8db15d8Sfdy}
377a8db15d8Sfdy
378a8db15d8Sfdyclass DiffCommitIO(implicit p: Parameters) extends XSBundle {
379a8db15d8Sfdy  val isCommit = Bool()
380a8db15d8Sfdy  val commitValid = Vec(CommitWidth * MaxUopSize, Bool())
381a8db15d8Sfdy
382a8db15d8Sfdy  val info = Vec(CommitWidth * MaxUopSize, new RobCommitInfo)
383a8db15d8Sfdy}
384a8db15d8Sfdy
3859aca92b9SYinan Xuclass RobCommitInfo(implicit p: Parameters) extends XSBundle {
386a7a8a6ccSHaojin Tang  val ldest = UInt(6.W)
387fe6452fcSYinan Xu  val rfWen = Bool()
388f1ba628bSHaojin Tang  val fpWen = Bool() // for Rab only
389f1ba628bSHaojin Tang  def dirtyFs = fpWen // for Rob only
390deb6421eSHaojin Tang  val vecWen = Bool()
3910f038924SZhangZifei  def fpVecWen = fpWen || vecWen
392a1fd7de4SLinJiawei  val wflags = Bool()
393fe6452fcSYinan Xu  val commitType = CommitType()
394fe6452fcSYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
395884dbb3bSLinJiawei  val ftqIdx = new FtqPtr
396884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
397ccfddc82SHaojin Tang  val isMove = Bool()
39814a67055Ssfencevma  val isRVC = Bool()
399a8db15d8Sfdy  val isVset = Bool()
400a8db15d8Sfdy  val vtype = new VType
4015844fcf0SLinJiawei
4029ecac1e8SYinan Xu  // these should be optimized for synthesis verilog
4039ecac1e8SYinan Xu  val pc = UInt(VAddrBits.W)
40489cc69c1STang Haojin
40589cc69c1STang Haojin  val instrSize = UInt(log2Ceil(RenameWidth + 1).W)
406fe6452fcSYinan Xu}
4075844fcf0SLinJiawei
4089aca92b9SYinan Xuclass RobCommitIO(implicit p: Parameters) extends XSBundle {
409ccfddc82SHaojin Tang  val isCommit = Bool()
410ccfddc82SHaojin Tang  val commitValid = Vec(CommitWidth, Bool())
4116474c47fSYinan Xu
412ccfddc82SHaojin Tang  val isWalk = Bool()
413c51eab43SYinan Xu  // valid bits optimized for walk
414ccfddc82SHaojin Tang  val walkValid = Vec(CommitWidth, Bool())
4156474c47fSYinan Xu
416ccfddc82SHaojin Tang  val info = Vec(CommitWidth, new RobCommitInfo)
417fa7f2c26STang Haojin  val robIdx = Vec(CommitWidth, new RobPtr)
41821e7a6c5SYinan Xu
4196474c47fSYinan Xu  def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR
4206474c47fSYinan Xu  def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR
4215844fcf0SLinJiawei}
4225844fcf0SLinJiawei
423fa7f2c26STang Haojinclass SnapshotPort(implicit p: Parameters) extends XSBundle {
424fa7f2c26STang Haojin  val snptEnq = Bool()
425fa7f2c26STang Haojin  val snptDeq = Bool()
426fa7f2c26STang Haojin  val useSnpt = Bool()
427fa7f2c26STang Haojin  val snptSelect = UInt(log2Ceil(RenameSnapshotNum).W)
428*c4b56310SHaojin Tang  val flushVec = Vec(RenameSnapshotNum, Bool())
429fa7f2c26STang Haojin}
430fa7f2c26STang Haojin
4311b7adedcSWilliam Wangclass RSFeedback(implicit p: Parameters) extends XSBundle {
4325db4956bSzhanglyGit  val robIdx = new RobPtr
433037a131fSWilliam Wang  val hit = Bool()
43462f57a35SLemover  val flushState = Bool()
4351b7adedcSWilliam Wang  val sourceType = RSFeedbackType()
436c7160cd3SWilliam Wang  val dataInvalidSqIdx = new SqPtr
437037a131fSWilliam Wang}
438037a131fSWilliam Wang
439d87b76aaSWilliam Wangclass MemRSFeedbackIO(implicit p: Parameters) extends XSBundle {
440d87b76aaSWilliam Wang  // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO
441d87b76aaSWilliam Wang  // for instance: MemRSFeedbackIO()(updateP)
442d87b76aaSWilliam Wang  val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss
443d87b76aaSWilliam Wang  val feedbackFast = ValidIO(new RSFeedback()) // bank conflict
444d87b76aaSWilliam Wang}
445d87b76aaSWilliam Wang
4460f55a0d3SHaojin Tangclass LoadCancelIO(implicit p: Parameters) extends XSBundle {
4470f55a0d3SHaojin Tang  val ld1Cancel = ValidIO(UInt(log2Ceil(LoadPipelineWidth).W))
4480f55a0d3SHaojin Tang  val ld2Cancel = ValidIO(UInt(log2Ceil(LoadPipelineWidth).W))
4490f55a0d3SHaojin Tang}
4500f55a0d3SHaojin Tang
451f06ca0bfSLingrui98class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle {
4525844fcf0SLinJiawei  // to backend end
4535844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
454d2b20d1aSTang Haojin  val stallReason = new StallReasonIO(DecodeWidth)
455f06ca0bfSLingrui98  val fromFtq = new FtqToCtrlIO
4565844fcf0SLinJiawei  // from backend
457f06ca0bfSLingrui98  val toFtq = Flipped(new CtrlToFtqIO)
4581e3fad10SLinJiawei}
459fcff7e94SZhangZifei
460f1fe8698SLemoverclass SatpStruct(implicit p: Parameters) extends XSBundle {
46145f497a4Shappy-lx  val mode = UInt(4.W)
46245f497a4Shappy-lx  val asid = UInt(16.W)
46345f497a4Shappy-lx  val ppn  = UInt(44.W)
46445f497a4Shappy-lx}
46545f497a4Shappy-lx
466f1fe8698SLemoverclass TlbSatpBundle(implicit p: Parameters) extends SatpStruct {
46745f497a4Shappy-lx  val changed = Bool()
46845f497a4Shappy-lx
46945f497a4Shappy-lx  def apply(satp_value: UInt): Unit = {
47045f497a4Shappy-lx    require(satp_value.getWidth == XLEN)
47145f497a4Shappy-lx    val sa = satp_value.asTypeOf(new SatpStruct)
47245f497a4Shappy-lx    mode := sa.mode
47345f497a4Shappy-lx    asid := sa.asid
474935edac4STang Haojin    ppn := Cat(0.U((44-PAddrBits).W), sa.ppn(PAddrBits-1, 0)).asUInt
47545f497a4Shappy-lx    changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush
47645f497a4Shappy-lx  }
477fcff7e94SZhangZifei}
478f1fe8698SLemover
479f1fe8698SLemoverclass TlbCsrBundle(implicit p: Parameters) extends XSBundle {
480f1fe8698SLemover  val satp = new TlbSatpBundle()
481fcff7e94SZhangZifei  val priv = new Bundle {
482fcff7e94SZhangZifei    val mxr = Bool()
483fcff7e94SZhangZifei    val sum = Bool()
484fcff7e94SZhangZifei    val imode = UInt(2.W)
485fcff7e94SZhangZifei    val dmode = UInt(2.W)
486fcff7e94SZhangZifei  }
4878fc4e859SZhangZifei
4888fc4e859SZhangZifei  override def toPrintable: Printable = {
4898fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
4908fc4e859SZhangZifei      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
4918fc4e859SZhangZifei  }
492fcff7e94SZhangZifei}
493fcff7e94SZhangZifei
4942225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle {
495fcff7e94SZhangZifei  val valid = Bool()
496fcff7e94SZhangZifei  val bits = new Bundle {
497fcff7e94SZhangZifei    val rs1 = Bool()
498fcff7e94SZhangZifei    val rs2 = Bool()
499fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
50045f497a4Shappy-lx    val asid = UInt(AsidLength.W)
501f1fe8698SLemover    val flushPipe = Bool()
502fcff7e94SZhangZifei  }
5038fc4e859SZhangZifei
5048fc4e859SZhangZifei  override def toPrintable: Printable = {
505f1fe8698SLemover    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}"
5068fc4e859SZhangZifei  }
507fcff7e94SZhangZifei}
508a165bd69Swangkaifan
509de169c67SWilliam Wang// Bundle for load violation predictor updating
510de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle  {
5112b8b2e7aSWilliam Wang  val valid = Bool()
512de169c67SWilliam Wang
513de169c67SWilliam Wang  // wait table update
514de169c67SWilliam Wang  val waddr = UInt(MemPredPCWidth.W)
5152b8b2e7aSWilliam Wang  val wdata = Bool() // true.B by default
516de169c67SWilliam Wang
517de169c67SWilliam Wang  // store set update
518de169c67SWilliam Wang  // by default, ldpc/stpc should be xor folded
519de169c67SWilliam Wang  val ldpc = UInt(MemPredPCWidth.W)
520de169c67SWilliam Wang  val stpc = UInt(MemPredPCWidth.W)
5212b8b2e7aSWilliam Wang}
5222b8b2e7aSWilliam Wang
5232225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle {
5242b8b2e7aSWilliam Wang  // Prefetcher
525ecccf78fSJay  val l1I_pf_enable = Output(Bool())
5262b8b2e7aSWilliam Wang  val l2_pf_enable = Output(Bool())
52785de5caeSLinJiawei  val l1D_pf_enable = Output(Bool())
52885de5caeSLinJiawei  val l1D_pf_train_on_hit = Output(Bool())
52985de5caeSLinJiawei  val l1D_pf_enable_agt = Output(Bool())
53085de5caeSLinJiawei  val l1D_pf_enable_pht = Output(Bool())
5315d13017eSLinJiawei  val l1D_pf_active_threshold = Output(UInt(4.W))
5325d13017eSLinJiawei  val l1D_pf_active_stride = Output(UInt(6.W))
533edbf1204SLinJiawei  val l1D_pf_enable_stride = Output(Bool())
534f1d78cf7SLinJiawei  val l2_pf_store_only = Output(Bool())
535ecccf78fSJay  // ICache
536ecccf78fSJay  val icache_parity_enable = Output(Bool())
537f3f22d72SYinan Xu  // Labeled XiangShan
5382b8b2e7aSWilliam Wang  val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter
539f3f22d72SYinan Xu  // Load violation predictor
5402b8b2e7aSWilliam Wang  val lvpred_disable = Output(Bool())
5412b8b2e7aSWilliam Wang  val no_spec_load = Output(Bool())
542c7160cd3SWilliam Wang  val storeset_wait_store = Output(Bool())
543c7160cd3SWilliam Wang  val storeset_no_fast_wakeup = Output(Bool())
544c7160cd3SWilliam Wang  val lvpred_timeout = Output(UInt(5.W))
545f3f22d72SYinan Xu  // Branch predictor
5462b8b2e7aSWilliam Wang  val bp_ctrl = Output(new BPUCtrl)
547f3f22d72SYinan Xu  // Memory Block
548f3f22d72SYinan Xu  val sbuffer_threshold = Output(UInt(4.W))
549d10a581eSWilliam Wang  val ldld_vio_check_enable = Output(Bool())
550d10a581eSWilliam Wang  val soft_prefetch_enable = Output(Bool())
551a4e57ea3SLi Qianruo  val cache_error_enable = Output(Bool())
55237225120Ssfencevma  val uncache_write_outstanding_enable = Output(Bool())
553aac4464eSYinan Xu  // Rename
5545b47c58cSYinan Xu  val fusion_enable = Output(Bool())
5555b47c58cSYinan Xu  val wfi_enable = Output(Bool())
556af2f7849Shappy-lx  // Decode
557af2f7849Shappy-lx  val svinval_enable = Output(Bool())
558af2f7849Shappy-lx
559b6982e83SLemover  // distribute csr write signal
560b6982e83SLemover  val distribute_csr = new DistributedCSRIO()
56172951335SLi Qianruo
562ddb65c47SLi Qianruo  val singlestep = Output(Bool())
56372951335SLi Qianruo  val frontend_trigger = new FrontendTdataDistributeIO()
56472951335SLi Qianruo  val mem_trigger = new MemTdataDistributeIO()
56572951335SLi Qianruo  val trigger_enable = Output(Vec(10, Bool()))
566b6982e83SLemover}
567b6982e83SLemover
568b6982e83SLemoverclass DistributedCSRIO(implicit p: Parameters) extends XSBundle {
5691c746d3aScui fliter  // CSR has been written by csr inst, copies of csr should be updated
570b6982e83SLemover  val w = ValidIO(new Bundle {
571b6982e83SLemover    val addr = Output(UInt(12.W))
572b6982e83SLemover    val data = Output(UInt(XLEN.W))
573b6982e83SLemover  })
5742b8b2e7aSWilliam Wang}
575e19f7967SWilliam Wang
576e19f7967SWilliam Wangclass DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle {
577e19f7967SWilliam Wang  // Request csr to be updated
578e19f7967SWilliam Wang  //
579e19f7967SWilliam Wang  // Note that this request will ONLY update CSR Module it self,
580e19f7967SWilliam Wang  // copies of csr will NOT be updated, use it with care!
581e19f7967SWilliam Wang  //
582e19f7967SWilliam Wang  // For each cycle, no more than 1 DistributedCSRUpdateReq is valid
583e19f7967SWilliam Wang  val w = ValidIO(new Bundle {
584e19f7967SWilliam Wang    val addr = Output(UInt(12.W))
585e19f7967SWilliam Wang    val data = Output(UInt(XLEN.W))
586e19f7967SWilliam Wang  })
587e19f7967SWilliam Wang  def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = {
588e19f7967SWilliam Wang    when(valid){
589e19f7967SWilliam Wang      w.bits.addr := addr
590e19f7967SWilliam Wang      w.bits.data := data
591e19f7967SWilliam Wang    }
592e19f7967SWilliam Wang    println("Distributed CSR update req registered for " + src_description)
593e19f7967SWilliam Wang  }
594e19f7967SWilliam Wang}
59572951335SLi Qianruo
5960f59c834SWilliam Wangclass L1CacheErrorInfo(implicit p: Parameters) extends XSBundle {
5970f59c834SWilliam Wang  // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR
5980f59c834SWilliam Wang  val source = Output(new Bundle() {
5990f59c834SWilliam Wang    val tag = Bool() // l1 tag array
6000f59c834SWilliam Wang    val data = Bool() // l1 data array
6010f59c834SWilliam Wang    val l2 = Bool()
6020f59c834SWilliam Wang  })
6030f59c834SWilliam Wang  val opType = Output(new Bundle() {
6040f59c834SWilliam Wang    val fetch = Bool()
6050f59c834SWilliam Wang    val load = Bool()
6060f59c834SWilliam Wang    val store = Bool()
6070f59c834SWilliam Wang    val probe = Bool()
6080f59c834SWilliam Wang    val release = Bool()
6090f59c834SWilliam Wang    val atom = Bool()
6100f59c834SWilliam Wang  })
6110f59c834SWilliam Wang  val paddr = Output(UInt(PAddrBits.W))
6120f59c834SWilliam Wang
6130f59c834SWilliam Wang  // report error and paddr to beu
6140f59c834SWilliam Wang  // bus error unit will receive error info iff ecc_error.valid
6150f59c834SWilliam Wang  val report_to_beu = Output(Bool())
6160f59c834SWilliam Wang
6170f59c834SWilliam Wang  // there is an valid error
6180f59c834SWilliam Wang  // l1 cache error will always be report to CACHE_ERROR csr
6190f59c834SWilliam Wang  val valid = Output(Bool())
6200f59c834SWilliam Wang
6210f59c834SWilliam Wang  def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = {
6220f59c834SWilliam Wang    val beu_info = Wire(new L1BusErrorUnitInfo)
6230f59c834SWilliam Wang    beu_info.ecc_error.valid := report_to_beu
6240f59c834SWilliam Wang    beu_info.ecc_error.bits := paddr
6250f59c834SWilliam Wang    beu_info
6260f59c834SWilliam Wang  }
6270f59c834SWilliam Wang}
628bc63e578SLi Qianruo
629bc63e578SLi Qianruo/* TODO how to trigger on next inst?
630bc63e578SLi Qianruo1. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep
631bc63e578SLi Qianruo2. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set
632bc63e578SLi Qianruoxret csr to pc + 4/ + 2
633bc63e578SLi Qianruo2.5 The problem is to let it commit. This is the real TODO
634bc63e578SLi Qianruo3. If it is load and hit before just treat it as regular load exception
635bc63e578SLi Qianruo */
636bc63e578SLi Qianruo
637bc63e578SLi Qianruo// This bundle carries trigger hit info along the pipeline
638bc63e578SLi Qianruo// Now there are 10 triggers divided into 5 groups of 2
639bc63e578SLi Qianruo// These groups are
640bc63e578SLi Qianruo// (if if) (store store) (load loid) (if store) (if load)
641bc63e578SLi Qianruo
642bc63e578SLi Qianruo// Triggers in the same group can chain, meaning that they only
643bc63e578SLi Qianruo// fire is both triggers in the group matches (the triggerHitVec bit is asserted)
644bc63e578SLi Qianruo// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i)
645bc63e578SLi Qianruo// Timing of 0 means trap at current inst, 1 means trap at next inst
646bc63e578SLi Qianruo// Chaining and timing and the validness of a trigger is controlled by csr
647bc63e578SLi Qianruo// In two chained triggers, if they have different timing, both won't fire
64884e47f35SLi Qianruo//class TriggerCf (implicit p: Parameters) extends XSBundle {
64984e47f35SLi Qianruo//  val triggerHitVec = Vec(10, Bool())
65084e47f35SLi Qianruo//  val triggerTiming = Vec(10, Bool())
65184e47f35SLi Qianruo//  val triggerChainVec = Vec(5, Bool())
65284e47f35SLi Qianruo//}
65384e47f35SLi Qianruo
65472951335SLi Qianruoclass TriggerCf(implicit p: Parameters) extends XSBundle {
65584e47f35SLi Qianruo  // frontend
65684e47f35SLi Qianruo  val frontendHit = Vec(4, Bool())
657ddb65c47SLi Qianruo//  val frontendTiming = Vec(4, Bool())
658ddb65c47SLi Qianruo//  val frontendHitNext = Vec(4, Bool())
65984e47f35SLi Qianruo
660ddb65c47SLi Qianruo//  val frontendException = Bool()
66184e47f35SLi Qianruo  // backend
66284e47f35SLi Qianruo  val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4)
66384e47f35SLi Qianruo  val backendHit = Vec(6, Bool())
664ddb65c47SLi Qianruo//  val backendTiming = Vec(6, Bool()) // trigger enable fro chain
66584e47f35SLi Qianruo
66684e47f35SLi Qianruo  // Two situations not allowed:
66784e47f35SLi Qianruo  // 1. load data comparison
66884e47f35SLi Qianruo  // 2. store chaining with store
66984e47f35SLi Qianruo  def getHitFrontend = frontendHit.reduce(_ || _)
67084e47f35SLi Qianruo  def getHitBackend = backendHit.reduce(_ || _)
671ddb65c47SLi Qianruo  def hit = getHitFrontend || getHitBackend
672d7dd1af1SLi Qianruo  def clear(): Unit = {
673d7dd1af1SLi Qianruo    frontendHit.foreach(_ := false.B)
674d7dd1af1SLi Qianruo    backendEn.foreach(_ := false.B)
675d7dd1af1SLi Qianruo    backendHit.foreach(_ := false.B)
676d7dd1af1SLi Qianruo  }
67772951335SLi Qianruo}
67872951335SLi Qianruo
679bc63e578SLi Qianruo// these 3 bundles help distribute trigger control signals from CSR
680bc63e578SLi Qianruo// to Frontend, Load and Store.
68172951335SLi Qianruoclass FrontendTdataDistributeIO(implicit p: Parameters)  extends XSBundle {
68272951335SLi Qianruo    val t = Valid(new Bundle {
68372951335SLi Qianruo      val addr = Output(UInt(2.W))
68472951335SLi Qianruo      val tdata = new MatchTriggerIO
68572951335SLi Qianruo    })
68672951335SLi Qianruo  }
68772951335SLi Qianruo
68872951335SLi Qianruoclass MemTdataDistributeIO(implicit p: Parameters)  extends XSBundle {
68972951335SLi Qianruo  val t = Valid(new Bundle {
69072951335SLi Qianruo    val addr = Output(UInt(3.W))
69172951335SLi Qianruo    val tdata = new MatchTriggerIO
69272951335SLi Qianruo  })
69372951335SLi Qianruo}
69472951335SLi Qianruo
69572951335SLi Qianruoclass MatchTriggerIO(implicit p: Parameters) extends XSBundle {
69672951335SLi Qianruo  val matchType = Output(UInt(2.W))
69772951335SLi Qianruo  val select = Output(Bool())
69872951335SLi Qianruo  val timing = Output(Bool())
69972951335SLi Qianruo  val action = Output(Bool())
70072951335SLi Qianruo  val chain = Output(Bool())
70172951335SLi Qianruo  val tdata2 = Output(UInt(64.W))
70272951335SLi Qianruo}
703b9e121dfShappy-lx
704d2b20d1aSTang Haojinclass StallReasonIO(width: Int) extends Bundle {
705d2b20d1aSTang Haojin  val reason = Output(Vec(width, UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W)))
706d2b20d1aSTang Haojin  val backReason = Flipped(Valid(UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W)))
707d2b20d1aSTang Haojin}
708d2b20d1aSTang Haojin
709b9e121dfShappy-lx// custom l2 - l1 interface
710b9e121dfShappy-lxclass L2ToL1Hint(implicit p: Parameters) extends XSBundle with HasDCacheParameters {
711b9e121dfShappy-lx  val sourceId = UInt(log2Up(cfg.nMissEntries).W)    // tilelink sourceID -> mshr id
712b9e121dfShappy-lx}
713