1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 171e3fad10SLinJiaweipackage xiangshan 181e3fad10SLinJiawei 191e3fad10SLinJiaweiimport chisel3._ 205844fcf0SLinJiaweiimport chisel3.util._ 219aca92b9SYinan Xuimport xiangshan.backend.rob.RobPtr 22f06ca0bfSLingrui98import xiangshan.backend.CtrlToFtqIO 23de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode} 245c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr} 2566b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo 26f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter 27*bcce877bSYinan Xuimport xiangshan.frontend.{AllFoldedHistories, CircularGlobalHistory, GlobalHistory, ShiftingGlobalHistory} 287447ee13SLingrui98import xiangshan.frontend.RASEntry 292b8b2e7aSWilliam Wangimport xiangshan.frontend.BPUCtrl 30e0d9a9f0SLingrui98import xiangshan.frontend.FtqPtr 31c2ad24ebSLingrui98import xiangshan.frontend.CGHPtr 32e0d9a9f0SLingrui98import xiangshan.frontend.FtqRead 33f06ca0bfSLingrui98import xiangshan.frontend.FtqToCtrlIO 34ceaf5e1fSLingrui98import utils._ 35b0ae3ac4SLinJiawei 362fbdb79bSLingrui98import scala.math.max 37d471c5aeSLingrui98import Chisel.experimental.chiselName 382225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 3988825c5cSYinan Xuimport chisel3.util.BitPat.bitPatToUInt 40*bcce877bSYinan Xuimport xiangshan.backend.exu.ExuConfig 41b6982e83SLemoverimport xiangshan.backend.fu.PMPEntry 4214a6653fSLingrui98import xiangshan.frontend.Ftq_Redirect_SRAMEntry 43dd6c0695SLingrui98import xiangshan.frontend.AllFoldedHistories 4467402d75SLingrui98import xiangshan.frontend.AllAheadFoldedHistoryOldestBits 451e3fad10SLinJiawei 46627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle { 473803411bSzhanglinjuan val valid = Bool() 4835fe60e8SLingrui98 val bits = gen.cloneType.asInstanceOf[T] 49fe211d16SLinJiawei 503803411bSzhanglinjuan} 513803411bSzhanglinjuan 52627c0a19Szhanglinjuanobject ValidUndirectioned { 53627c0a19Szhanglinjuan def apply[T <: Data](gen: T) = { 54627c0a19Szhanglinjuan new ValidUndirectioned[T](gen) 553803411bSzhanglinjuan } 563803411bSzhanglinjuan} 573803411bSzhanglinjuan 581b7adedcSWilliam Wangobject RSFeedbackType { 5967682d05SWilliam Wang val tlbMiss = 0.U(3.W) 6067682d05SWilliam Wang val mshrFull = 1.U(3.W) 6167682d05SWilliam Wang val dataInvalid = 2.U(3.W) 6267682d05SWilliam Wang val bankConflict = 3.U(3.W) 6367682d05SWilliam Wang val ldVioCheckRedo = 4.U(3.W) 641b7adedcSWilliam Wang 6567682d05SWilliam Wang def apply() = UInt(3.W) 661b7adedcSWilliam Wang} 671b7adedcSWilliam Wang 682225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle { 69097c2688SLingrui98 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 70097c2688SLingrui98 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 71097c2688SLingrui98 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 7251b2a476Szoujr} 7351b2a476Szoujr 742225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 75f226232fSzhanglinjuan // from backend 7669cafcc9SLingrui98 val pc = UInt(VAddrBits.W) 77f226232fSzhanglinjuan // frontend -> backend -> frontend 78f226232fSzhanglinjuan val pd = new PreDecodeInfo 798a5e9243SLinJiawei val rasSp = UInt(log2Up(RasSize).W) 802e947747SLinJiawei val rasEntry = new RASEntry 81c2ad24ebSLingrui98 // val hist = new ShiftingGlobalHistory 82dd6c0695SLingrui98 val folded_hist = new AllFoldedHistories(foldedGHistInfos) 8367402d75SLingrui98 val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos) 8467402d75SLingrui98 val lastBrNumOH = UInt((numBr+1).W) 85b37e4b45SLingrui98 val ghr = UInt(UbtbGHRLength.W) 86c2ad24ebSLingrui98 val histPtr = new CGHPtr 87e7b046c5Szoujr val specCnt = Vec(numBr, UInt(10.W)) 88fe3a74fcSYinan Xu // need pipeline update 898a597714Szoujr val br_hit = Bool() 902e947747SLinJiawei val predTaken = Bool() 91b2e6921eSLinJiawei val target = UInt(VAddrBits.W) 929a2e6b8aSLinJiawei val taken = Bool() 93b2e6921eSLinJiawei val isMisPred = Bool() 94d0527adfSzoujr val shift = UInt((log2Ceil(numBr)+1).W) 95d0527adfSzoujr val addIntoHist = Bool() 9614a6653fSLingrui98 9714a6653fSLingrui98 def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = { 98c2ad24ebSLingrui98 // this.hist := entry.ghist 99dd6c0695SLingrui98 this.folded_hist := entry.folded_hist 10067402d75SLingrui98 this.lastBrNumOH := entry.lastBrNumOH 10167402d75SLingrui98 this.afhob := entry.afhob 102c2ad24ebSLingrui98 this.histPtr := entry.histPtr 10314a6653fSLingrui98 this.rasSp := entry.rasSp 10414a6653fSLingrui98 this.rasEntry := entry.rasEntry 10514a6653fSLingrui98 this 10614a6653fSLingrui98 } 107b2e6921eSLinJiawei} 108b2e6921eSLinJiawei 1095844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer 110de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle { 1115844fcf0SLinJiawei val instr = UInt(32.W) 1125844fcf0SLinJiawei val pc = UInt(VAddrBits.W) 113de169c67SWilliam Wang val foldpc = UInt(MemPredPCWidth.W) 114baf8def6SYinan Xu val exceptionVec = ExceptionVec() 11572951335SLi Qianruo val trigger = new TriggerCf 1165844fcf0SLinJiawei val intrVec = Vec(12, Bool()) 117faf3cfa9SLinJiawei val pd = new PreDecodeInfo 118cde9280dSLinJiawei val pred_taken = Bool() 119c84054caSLinJiawei val crossPageIPFFix = Bool() 120de169c67SWilliam Wang val storeSetHit = Bool() // inst has been allocated an store set 121980c1bc3SWilliam Wang val waitForRobIdx = new RobPtr // store set predicted previous store robIdx 122d1fe0262SWilliam Wang // Load wait is needed 123d1fe0262SWilliam Wang // load inst will not be executed until former store (predicted by mdp) addr calcuated 124d1fe0262SWilliam Wang val loadWaitBit = Bool() 125d1fe0262SWilliam Wang // If (loadWaitBit && loadWaitStrict), strict load wait is needed 126d1fe0262SWilliam Wang // load inst will not be executed until ALL former store addr calcuated 127d1fe0262SWilliam Wang val loadWaitStrict = Bool() 128de169c67SWilliam Wang val ssid = UInt(SSIDWidth.W) 129884dbb3bSLinJiawei val ftqPtr = new FtqPtr 130884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 1311f0e2dc7SJiawei Lin // This inst will flush all the pipe when it is the oldest inst in ROB, 1321f0e2dc7SJiawei Lin // then replay from this inst itself 1331f0e2dc7SJiawei Lin val replayInst = Bool() 1345844fcf0SLinJiawei} 1355844fcf0SLinJiawei 13672951335SLi Qianruo 1372225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 1382ce29ed6SLinJiawei val isAddSub = Bool() // swap23 139dc597826SJiawei Lin val typeTagIn = UInt(1.W) 140dc597826SJiawei Lin val typeTagOut = UInt(1.W) 1412ce29ed6SLinJiawei val fromInt = Bool() 1422ce29ed6SLinJiawei val wflags = Bool() 1432ce29ed6SLinJiawei val fpWen = Bool() 1442ce29ed6SLinJiawei val fmaCmd = UInt(2.W) 1452ce29ed6SLinJiawei val div = Bool() 1462ce29ed6SLinJiawei val sqrt = Bool() 1472ce29ed6SLinJiawei val fcvt = Bool() 1482ce29ed6SLinJiawei val typ = UInt(2.W) 1492ce29ed6SLinJiawei val fmt = UInt(2.W) 1502ce29ed6SLinJiawei val ren3 = Bool() //TODO: remove SrcType.fp 151e6c6b64fSLinJiawei val rm = UInt(3.W) 152579b9f28SLinJiawei} 153579b9f28SLinJiawei 1545844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage 1552225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle { 15620e31bd1SYinan Xu val srcType = Vec(3, SrcType()) 15720e31bd1SYinan Xu val lsrc = Vec(3, UInt(5.W)) 1589a2e6b8aSLinJiawei val ldest = UInt(5.W) 1599a2e6b8aSLinJiawei val fuType = FuType() 1609a2e6b8aSLinJiawei val fuOpType = FuOpType() 1619a2e6b8aSLinJiawei val rfWen = Bool() 1629a2e6b8aSLinJiawei val fpWen = Bool() 1639a2e6b8aSLinJiawei val isXSTrap = Bool() 1642d366136SLinJiawei val noSpecExec = Bool() // wait forward 1652d366136SLinJiawei val blockBackward = Bool() // block backward 16645a56a29SZhangZifei val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 167c2a8ae00SYikeZhou val selImm = SelImm() 168b0ae3ac4SLinJiawei val imm = UInt(ImmUnion.maxLen.W) 169a3edac52SYinan Xu val commitType = CommitType() 170579b9f28SLinJiawei val fpu = new FPUCtrlSignals 171aac4464eSYinan Xu val isMove = Bool() 172d4aca96cSlqre val singleStep = Bool() 173c88c3a2aSYinan Xu // This inst will flush all the pipe when it is the oldest inst in ROB, 174c88c3a2aSYinan Xu // then replay from this inst itself 175c88c3a2aSYinan Xu val replayInst = Bool() 176be25371aSYikeZhou 17788825c5cSYinan Xu private def allSignals = srcType ++ Seq(fuType, fuOpType, rfWen, fpWen, 1786e7c9679Shuxuan0307 isXSTrap, noSpecExec, blockBackward, flushPipe, selImm) 17988825c5cSYinan Xu 18088825c5cSYinan Xu def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = { 18188825c5cSYinan Xu val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table) 18288825c5cSYinan Xu allSignals zip decoder foreach { case (s, d) => s := d } 1834d24c305SYikeZhou commitType := DontCare 184be25371aSYikeZhou this 185be25371aSYikeZhou } 18688825c5cSYinan Xu 18788825c5cSYinan Xu def decode(bit: List[BitPat]): CtrlSignals = { 18888825c5cSYinan Xu allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d } 18988825c5cSYinan Xu this 19088825c5cSYinan Xu } 191b6900d94SYinan Xu 192b6900d94SYinan Xu def isWFI: Bool = fuType === FuType.csr && fuOpType === CSROpType.wfi 1935844fcf0SLinJiawei} 1945844fcf0SLinJiawei 1952225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle { 1965844fcf0SLinJiawei val cf = new CtrlFlow 1975844fcf0SLinJiawei val ctrl = new CtrlSignals 1985844fcf0SLinJiawei} 1995844fcf0SLinJiawei 2002225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle { 2018b8e745dSYikeZhou val eliminatedMove = Bool() 202ba4100caSYinan Xu // val fetchTime = UInt(64.W) 203ebb8ebf8SYinan Xu val renameTime = UInt(XLEN.W) 204ebb8ebf8SYinan Xu val dispatchTime = UInt(XLEN.W) 205ebb8ebf8SYinan Xu val enqRsTime = UInt(XLEN.W) 206ebb8ebf8SYinan Xu val selectTime = UInt(XLEN.W) 207ebb8ebf8SYinan Xu val issueTime = UInt(XLEN.W) 208ebb8ebf8SYinan Xu val writebackTime = UInt(XLEN.W) 2097cef916fSYinan Xu // val commitTime = UInt(64.W) 21020edb3f7SWilliam Wang val runahead_checkpoint_id = UInt(64.W) 211ba4100caSYinan Xu} 212ba4100caSYinan Xu 21348d1472eSWilliam Wang// Separate LSQ 2142225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle { 215915c0dd4SYinan Xu val lqIdx = new LqPtr 2165c1ae31bSYinan Xu val sqIdx = new SqPtr 21724726fbfSWilliam Wang} 21824726fbfSWilliam Wang 219b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage 2202225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl { 22120e31bd1SYinan Xu val srcState = Vec(3, SrcState()) 22220e31bd1SYinan Xu val psrc = Vec(3, UInt(PhyRegIdxWidth.W)) 22320e31bd1SYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 22420e31bd1SYinan Xu val old_pdest = UInt(PhyRegIdxWidth.W) 2259aca92b9SYinan Xu val robIdx = new RobPtr 226fe6452fcSYinan Xu val lqIdx = new LqPtr 227fe6452fcSYinan Xu val sqIdx = new SqPtr 2288b8e745dSYikeZhou val eliminatedMove = Bool() 2297cef916fSYinan Xu val debugInfo = new PerfDebugInfo 2309d4e1137SYinan Xu def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = { 231*bcce877bSYinan Xu val stateReady = srcState(index) === SrcState.rdy || ignoreState.B 232*bcce877bSYinan Xu val readReg = if (isFp) { 233*bcce877bSYinan Xu ctrl.srcType(index) === SrcType.fp 234*bcce877bSYinan Xu } else { 235*bcce877bSYinan Xu ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U 236a338f247SYinan Xu } 237*bcce877bSYinan Xu readReg && stateReady 238a338f247SYinan Xu } 2395c7674feSYinan Xu def srcIsReady: Vec[Bool] = { 240c9ebdf90SYinan Xu VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy }) 2415c7674feSYinan Xu } 2426ab6918fSYinan Xu def clearExceptions( 2436ab6918fSYinan Xu exceptionBits: Seq[Int] = Seq(), 2446ab6918fSYinan Xu flushPipe: Boolean = false, 2456ab6918fSYinan Xu replayInst: Boolean = false 2466ab6918fSYinan Xu ): MicroOp = { 2476ab6918fSYinan Xu cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B) 2486ab6918fSYinan Xu if (!flushPipe) { ctrl.flushPipe := false.B } 2496ab6918fSYinan Xu if (!replayInst) { ctrl.replayInst := false.B } 250c88c3a2aSYinan Xu this 251c88c3a2aSYinan Xu } 252a19215ddSYinan Xu // Assume only the LUI instruction is decoded with IMM_U in ALU. 253a19215ddSYinan Xu def isLUI: Bool = ctrl.selImm === SelImm.IMM_U && ctrl.fuType === FuType.alu 254*bcce877bSYinan Xu // This MicroOp is used to wakeup another uop (the successor: (psrc, srcType). 255*bcce877bSYinan Xu def wakeup(successor: Seq[(UInt, UInt)], exuCfg: ExuConfig): Seq[(Bool, Bool)] = { 256*bcce877bSYinan Xu successor.map{ case (src, srcType) => 257*bcce877bSYinan Xu val pdestMatch = pdest === src 258*bcce877bSYinan Xu // For state: no need to check whether src is x0/imm/pc because they are always ready. 259*bcce877bSYinan Xu val rfStateMatch = if (exuCfg.readIntRf) ctrl.rfWen else false.B 260*bcce877bSYinan Xu val fpMatch = if (exuCfg.readFpRf) ctrl.fpWen else false.B 261*bcce877bSYinan Xu val bothIntFp = exuCfg.readIntRf && exuCfg.readFpRf 262*bcce877bSYinan Xu val bothStateMatch = Mux(SrcType.regIsFp(srcType), fpMatch, rfStateMatch) 263*bcce877bSYinan Xu val stateCond = pdestMatch && (if (bothIntFp) bothStateMatch else rfStateMatch || fpMatch) 264*bcce877bSYinan Xu // For data: types are matched and int pdest is not $zero. 265*bcce877bSYinan Xu val rfDataMatch = if (exuCfg.readIntRf) ctrl.rfWen && src =/= 0.U else false.B 266*bcce877bSYinan Xu val dataCond = pdestMatch && (rfDataMatch && SrcType.isReg(srcType) || fpMatch && SrcType.isFp(srcType)) 267*bcce877bSYinan Xu (stateCond, dataCond) 268*bcce877bSYinan Xu } 269*bcce877bSYinan Xu } 270*bcce877bSYinan Xu // This MicroOp is used to wakeup another uop (the successor: MicroOp). 271*bcce877bSYinan Xu def wakeup(successor: MicroOp, exuCfg: ExuConfig): Seq[(Bool, Bool)] = { 272*bcce877bSYinan Xu wakeup(successor.psrc.zip(successor.ctrl.srcType), exuCfg) 273*bcce877bSYinan Xu } 2745844fcf0SLinJiawei} 2755844fcf0SLinJiawei 27646f74b57SHaojin Tangclass XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle { 277de169c67SWilliam Wang val uop = new MicroOp 27846f74b57SHaojin Tang} 27946f74b57SHaojin Tang 28046f74b57SHaojin Tangclass MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp { 281de169c67SWilliam Wang val flag = UInt(1.W) 282de169c67SWilliam Wang} 283de169c67SWilliam Wang 2842225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle { 2859aca92b9SYinan Xu val robIdx = new RobPtr 28636d7aed5SLinJiawei val ftqIdx = new FtqPtr 28736d7aed5SLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 288bfb958a3SYinan Xu val level = RedirectLevel() 289bfb958a3SYinan Xu val interrupt = Bool() 290c778d2afSLinJiawei val cfiUpdate = new CfiUpdateInfo 291bfb958a3SYinan Xu 292de169c67SWilliam Wang val stFtqIdx = new FtqPtr // for load violation predict 293de169c67SWilliam Wang val stFtqOffset = UInt(log2Up(PredictWidth).W) 294fe211d16SLinJiawei 29520edb3f7SWilliam Wang val debug_runahead_checkpoint_id = UInt(64.W) 29620edb3f7SWilliam Wang 2972d7c7105SYinan Xu // def isUnconditional() = RedirectLevel.isUnconditional(level) 298bfb958a3SYinan Xu def flushItself() = RedirectLevel.flushItself(level) 2992d7c7105SYinan Xu // def isException() = RedirectLevel.isException(level) 300a25b1bceSLinJiawei} 301a25b1bceSLinJiawei 3022225d46eSJiawei Linclass Dp1ToDp2IO(implicit p: Parameters) extends XSBundle { 3035c7b21d5SYinan Xu val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 3045c7b21d5SYinan Xu val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp)) 3055c7b21d5SYinan Xu val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp)) 3065844fcf0SLinJiawei} 3075844fcf0SLinJiawei 3082b4e8253SYinan Xuclass ResetPregStateReq(implicit p: Parameters) extends XSBundle { 30960deaca2SLinJiawei // NOTE: set isInt and isFp both to 'false' when invalid 31060deaca2SLinJiawei val isInt = Bool() 31160deaca2SLinJiawei val isFp = Bool() 31260deaca2SLinJiawei val preg = UInt(PhyRegIdxWidth.W) 3135844fcf0SLinJiawei} 3145844fcf0SLinJiawei 3152225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle { 31672235fa4SWilliam Wang val isMMIO = Bool() 3178635f18fSwangkaifan val isPerfCnt = Bool() 3188b91a337SWilliam Wang val paddr = UInt(PAddrBits.W) 31972951335SLi Qianruo val vaddr = UInt(VAddrBits.W) 320e402d94eSWilliam Wang} 3215844fcf0SLinJiawei 32246f74b57SHaojin Tangclass ExuInput(implicit p: Parameters) extends XSBundleWithMicroOp { 323dc597826SJiawei Lin val src = Vec(3, UInt(XLEN.W)) 3245844fcf0SLinJiawei} 3255844fcf0SLinJiawei 32646f74b57SHaojin Tangclass ExuOutput(implicit p: Parameters) extends XSBundleWithMicroOp { 327dc597826SJiawei Lin val data = UInt(XLEN.W) 3287f1506e3SLinJiawei val fflags = UInt(5.W) 32997cfa7f8SLinJiawei val redirectValid = Bool() 33097cfa7f8SLinJiawei val redirect = new Redirect 331e402d94eSWilliam Wang val debug = new DebugBundle 3325844fcf0SLinJiawei} 3335844fcf0SLinJiawei 3342225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 33535bfeecbSYinan Xu val mtip = Input(Bool()) 33635bfeecbSYinan Xu val msip = Input(Bool()) 33735bfeecbSYinan Xu val meip = Input(Bool()) 338b3d79b37SYinan Xu val seip = Input(Bool()) 339d4aca96cSlqre val debug = Input(Bool()) 3405844fcf0SLinJiawei} 3415844fcf0SLinJiawei 3422225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle { 34335bfeecbSYinan Xu val exception = Flipped(ValidIO(new MicroOp)) 3443fa7b737SYinan Xu val isInterrupt = Input(Bool()) 34535bfeecbSYinan Xu val memExceptionVAddr = Input(UInt(VAddrBits.W)) 34635bfeecbSYinan Xu val trapTarget = Output(UInt(VAddrBits.W)) 34735bfeecbSYinan Xu val externalInterrupt = new ExternalInterruptIO 34835bfeecbSYinan Xu val interrupt = Output(Bool()) 34935bfeecbSYinan Xu} 35035bfeecbSYinan Xu 35146f74b57SHaojin Tangclass ExceptionInfo(implicit p: Parameters) extends XSBundleWithMicroOp { 3523a474d38SYinan Xu val isInterrupt = Bool() 3533a474d38SYinan Xu} 3543a474d38SYinan Xu 3559aca92b9SYinan Xuclass RobCommitInfo(implicit p: Parameters) extends XSBundle { 356fe6452fcSYinan Xu val ldest = UInt(5.W) 357fe6452fcSYinan Xu val rfWen = Bool() 358fe6452fcSYinan Xu val fpWen = Bool() 359a1fd7de4SLinJiawei val wflags = Bool() 360fe6452fcSYinan Xu val commitType = CommitType() 361fe6452fcSYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 362fe6452fcSYinan Xu val old_pdest = UInt(PhyRegIdxWidth.W) 363884dbb3bSLinJiawei val ftqIdx = new FtqPtr 364884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 3655844fcf0SLinJiawei 3669ecac1e8SYinan Xu // these should be optimized for synthesis verilog 3679ecac1e8SYinan Xu val pc = UInt(VAddrBits.W) 368fe6452fcSYinan Xu} 3695844fcf0SLinJiawei 3709aca92b9SYinan Xuclass RobCommitIO(implicit p: Parameters) extends XSBundle { 37121e7a6c5SYinan Xu val isWalk = Output(Bool()) 37221e7a6c5SYinan Xu val valid = Vec(CommitWidth, Output(Bool())) 373c51eab43SYinan Xu // valid bits optimized for walk 374c51eab43SYinan Xu val walkValid = Vec(CommitWidth, Output(Bool())) 3759aca92b9SYinan Xu val info = Vec(CommitWidth, Output(new RobCommitInfo)) 37621e7a6c5SYinan Xu 37721e7a6c5SYinan Xu def hasWalkInstr = isWalk && valid.asUInt.orR 378fe211d16SLinJiawei 37921e7a6c5SYinan Xu def hasCommitInstr = !isWalk && valid.asUInt.orR 3805844fcf0SLinJiawei} 3815844fcf0SLinJiawei 3821b7adedcSWilliam Wangclass RSFeedback(implicit p: Parameters) extends XSBundle { 38364e8d8bdSZhangZifei val rsIdx = UInt(log2Up(IssQueSize).W) 384037a131fSWilliam Wang val hit = Bool() 38562f57a35SLemover val flushState = Bool() 3861b7adedcSWilliam Wang val sourceType = RSFeedbackType() 387c7160cd3SWilliam Wang val dataInvalidSqIdx = new SqPtr 388037a131fSWilliam Wang} 389037a131fSWilliam Wang 390d87b76aaSWilliam Wangclass MemRSFeedbackIO(implicit p: Parameters) extends XSBundle { 391d87b76aaSWilliam Wang // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO 392d87b76aaSWilliam Wang // for instance: MemRSFeedbackIO()(updateP) 393d87b76aaSWilliam Wang val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss 394d87b76aaSWilliam Wang val feedbackFast = ValidIO(new RSFeedback()) // bank conflict 395d87b76aaSWilliam Wang val rsIdx = Input(UInt(log2Up(IssQueSize).W)) 396d87b76aaSWilliam Wang val isFirstIssue = Input(Bool()) 397d87b76aaSWilliam Wang} 398d87b76aaSWilliam Wang 399f06ca0bfSLingrui98class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle { 4005844fcf0SLinJiawei // to backend end 4015844fcf0SLinJiawei val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 402f06ca0bfSLingrui98 val fromFtq = new FtqToCtrlIO 4035844fcf0SLinJiawei // from backend 404f06ca0bfSLingrui98 val toFtq = Flipped(new CtrlToFtqIO) 4051e3fad10SLinJiawei} 406fcff7e94SZhangZifei 40745f497a4Shappy-lxclass SatpStruct extends Bundle { 40845f497a4Shappy-lx val mode = UInt(4.W) 40945f497a4Shappy-lx val asid = UInt(16.W) 41045f497a4Shappy-lx val ppn = UInt(44.W) 41145f497a4Shappy-lx} 41245f497a4Shappy-lx 4132225d46eSJiawei Linclass TlbCsrBundle(implicit p: Parameters) extends XSBundle { 414fcff7e94SZhangZifei val satp = new Bundle { 41545f497a4Shappy-lx val changed = Bool() 416fcff7e94SZhangZifei val mode = UInt(4.W) // TODO: may change number to parameter 417fcff7e94SZhangZifei val asid = UInt(16.W) 418fcff7e94SZhangZifei val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen 41945f497a4Shappy-lx 42045f497a4Shappy-lx def apply(satp_value: UInt): Unit = { 42145f497a4Shappy-lx require(satp_value.getWidth == XLEN) 42245f497a4Shappy-lx val sa = satp_value.asTypeOf(new SatpStruct) 42345f497a4Shappy-lx mode := sa.mode 42445f497a4Shappy-lx asid := sa.asid 42545f497a4Shappy-lx ppn := sa.ppn 42645f497a4Shappy-lx changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush 42745f497a4Shappy-lx } 428fcff7e94SZhangZifei } 429fcff7e94SZhangZifei val priv = new Bundle { 430fcff7e94SZhangZifei val mxr = Bool() 431fcff7e94SZhangZifei val sum = Bool() 432fcff7e94SZhangZifei val imode = UInt(2.W) 433fcff7e94SZhangZifei val dmode = UInt(2.W) 434fcff7e94SZhangZifei } 4358fc4e859SZhangZifei 4368fc4e859SZhangZifei override def toPrintable: Printable = { 4378fc4e859SZhangZifei p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 4388fc4e859SZhangZifei p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 4398fc4e859SZhangZifei } 440fcff7e94SZhangZifei} 441fcff7e94SZhangZifei 4422225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle { 443fcff7e94SZhangZifei val valid = Bool() 444fcff7e94SZhangZifei val bits = new Bundle { 445fcff7e94SZhangZifei val rs1 = Bool() 446fcff7e94SZhangZifei val rs2 = Bool() 447fcff7e94SZhangZifei val addr = UInt(VAddrBits.W) 44845f497a4Shappy-lx val asid = UInt(AsidLength.W) 449fcff7e94SZhangZifei } 4508fc4e859SZhangZifei 4518fc4e859SZhangZifei override def toPrintable: Printable = { 4528fc4e859SZhangZifei p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}" 4538fc4e859SZhangZifei } 454fcff7e94SZhangZifei} 455a165bd69Swangkaifan 456de169c67SWilliam Wang// Bundle for load violation predictor updating 457de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 4582b8b2e7aSWilliam Wang val valid = Bool() 459de169c67SWilliam Wang 460de169c67SWilliam Wang // wait table update 461de169c67SWilliam Wang val waddr = UInt(MemPredPCWidth.W) 4622b8b2e7aSWilliam Wang val wdata = Bool() // true.B by default 463de169c67SWilliam Wang 464de169c67SWilliam Wang // store set update 465de169c67SWilliam Wang // by default, ldpc/stpc should be xor folded 466de169c67SWilliam Wang val ldpc = UInt(MemPredPCWidth.W) 467de169c67SWilliam Wang val stpc = UInt(MemPredPCWidth.W) 4682b8b2e7aSWilliam Wang} 4692b8b2e7aSWilliam Wang 4702225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 4712b8b2e7aSWilliam Wang // Prefetcher 472ecccf78fSJay val l1I_pf_enable = Output(Bool()) 4732b8b2e7aSWilliam Wang val l2_pf_enable = Output(Bool()) 474ecccf78fSJay // ICache 475ecccf78fSJay val icache_parity_enable = Output(Bool()) 476f3f22d72SYinan Xu // Labeled XiangShan 4772b8b2e7aSWilliam Wang val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter 478f3f22d72SYinan Xu // Load violation predictor 4792b8b2e7aSWilliam Wang val lvpred_disable = Output(Bool()) 4802b8b2e7aSWilliam Wang val no_spec_load = Output(Bool()) 481c7160cd3SWilliam Wang val storeset_wait_store = Output(Bool()) 482c7160cd3SWilliam Wang val storeset_no_fast_wakeup = Output(Bool()) 483c7160cd3SWilliam Wang val lvpred_timeout = Output(UInt(5.W)) 484f3f22d72SYinan Xu // Branch predictor 4852b8b2e7aSWilliam Wang val bp_ctrl = Output(new BPUCtrl) 486f3f22d72SYinan Xu // Memory Block 487f3f22d72SYinan Xu val sbuffer_threshold = Output(UInt(4.W)) 488d10a581eSWilliam Wang val ldld_vio_check_enable = Output(Bool()) 489d10a581eSWilliam Wang val soft_prefetch_enable = Output(Bool()) 490a4e57ea3SLi Qianruo val cache_error_enable = Output(Bool()) 491aac4464eSYinan Xu // Rename 492aac4464eSYinan Xu val move_elim_enable = Output(Bool()) 493af2f7849Shappy-lx // Decode 494af2f7849Shappy-lx val svinval_enable = Output(Bool()) 495af2f7849Shappy-lx 496b6982e83SLemover // distribute csr write signal 497b6982e83SLemover val distribute_csr = new DistributedCSRIO() 49872951335SLi Qianruo 499ddb65c47SLi Qianruo val singlestep = Output(Bool()) 50072951335SLi Qianruo val frontend_trigger = new FrontendTdataDistributeIO() 50172951335SLi Qianruo val mem_trigger = new MemTdataDistributeIO() 50272951335SLi Qianruo val trigger_enable = Output(Vec(10, Bool())) 503b6982e83SLemover} 504b6982e83SLemover 505b6982e83SLemoverclass DistributedCSRIO(implicit p: Parameters) extends XSBundle { 5061c746d3aScui fliter // CSR has been written by csr inst, copies of csr should be updated 507b6982e83SLemover val w = ValidIO(new Bundle { 508b6982e83SLemover val addr = Output(UInt(12.W)) 509b6982e83SLemover val data = Output(UInt(XLEN.W)) 510b6982e83SLemover }) 5112b8b2e7aSWilliam Wang} 512e19f7967SWilliam Wang 513e19f7967SWilliam Wangclass DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle { 514e19f7967SWilliam Wang // Request csr to be updated 515e19f7967SWilliam Wang // 516e19f7967SWilliam Wang // Note that this request will ONLY update CSR Module it self, 517e19f7967SWilliam Wang // copies of csr will NOT be updated, use it with care! 518e19f7967SWilliam Wang // 519e19f7967SWilliam Wang // For each cycle, no more than 1 DistributedCSRUpdateReq is valid 520e19f7967SWilliam Wang val w = ValidIO(new Bundle { 521e19f7967SWilliam Wang val addr = Output(UInt(12.W)) 522e19f7967SWilliam Wang val data = Output(UInt(XLEN.W)) 523e19f7967SWilliam Wang }) 524e19f7967SWilliam Wang def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = { 525e19f7967SWilliam Wang when(valid){ 526e19f7967SWilliam Wang w.bits.addr := addr 527e19f7967SWilliam Wang w.bits.data := data 528e19f7967SWilliam Wang } 529e19f7967SWilliam Wang println("Distributed CSR update req registered for " + src_description) 530e19f7967SWilliam Wang } 531e19f7967SWilliam Wang} 53272951335SLi Qianruo 5330f59c834SWilliam Wangclass L1CacheErrorInfo(implicit p: Parameters) extends XSBundle { 5340f59c834SWilliam Wang // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR 5350f59c834SWilliam Wang val source = Output(new Bundle() { 5360f59c834SWilliam Wang val tag = Bool() // l1 tag array 5370f59c834SWilliam Wang val data = Bool() // l1 data array 5380f59c834SWilliam Wang val l2 = Bool() 5390f59c834SWilliam Wang }) 5400f59c834SWilliam Wang val opType = Output(new Bundle() { 5410f59c834SWilliam Wang val fetch = Bool() 5420f59c834SWilliam Wang val load = Bool() 5430f59c834SWilliam Wang val store = Bool() 5440f59c834SWilliam Wang val probe = Bool() 5450f59c834SWilliam Wang val release = Bool() 5460f59c834SWilliam Wang val atom = Bool() 5470f59c834SWilliam Wang }) 5480f59c834SWilliam Wang val paddr = Output(UInt(PAddrBits.W)) 5490f59c834SWilliam Wang 5500f59c834SWilliam Wang // report error and paddr to beu 5510f59c834SWilliam Wang // bus error unit will receive error info iff ecc_error.valid 5520f59c834SWilliam Wang val report_to_beu = Output(Bool()) 5530f59c834SWilliam Wang 5540f59c834SWilliam Wang // there is an valid error 5550f59c834SWilliam Wang // l1 cache error will always be report to CACHE_ERROR csr 5560f59c834SWilliam Wang val valid = Output(Bool()) 5570f59c834SWilliam Wang 5580f59c834SWilliam Wang def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = { 5590f59c834SWilliam Wang val beu_info = Wire(new L1BusErrorUnitInfo) 5600f59c834SWilliam Wang beu_info.ecc_error.valid := report_to_beu 5610f59c834SWilliam Wang beu_info.ecc_error.bits := paddr 5620f59c834SWilliam Wang beu_info 5630f59c834SWilliam Wang } 5640f59c834SWilliam Wang} 565bc63e578SLi Qianruo 566bc63e578SLi Qianruo/* TODO how to trigger on next inst? 567bc63e578SLi Qianruo1. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep 568bc63e578SLi Qianruo2. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set 569bc63e578SLi Qianruoxret csr to pc + 4/ + 2 570bc63e578SLi Qianruo2.5 The problem is to let it commit. This is the real TODO 571bc63e578SLi Qianruo3. If it is load and hit before just treat it as regular load exception 572bc63e578SLi Qianruo */ 573bc63e578SLi Qianruo 574bc63e578SLi Qianruo// This bundle carries trigger hit info along the pipeline 575bc63e578SLi Qianruo// Now there are 10 triggers divided into 5 groups of 2 576bc63e578SLi Qianruo// These groups are 577bc63e578SLi Qianruo// (if if) (store store) (load loid) (if store) (if load) 578bc63e578SLi Qianruo 579bc63e578SLi Qianruo// Triggers in the same group can chain, meaning that they only 580bc63e578SLi Qianruo// fire is both triggers in the group matches (the triggerHitVec bit is asserted) 581bc63e578SLi Qianruo// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i) 582bc63e578SLi Qianruo// Timing of 0 means trap at current inst, 1 means trap at next inst 583bc63e578SLi Qianruo// Chaining and timing and the validness of a trigger is controlled by csr 584bc63e578SLi Qianruo// In two chained triggers, if they have different timing, both won't fire 58584e47f35SLi Qianruo//class TriggerCf (implicit p: Parameters) extends XSBundle { 58684e47f35SLi Qianruo// val triggerHitVec = Vec(10, Bool()) 58784e47f35SLi Qianruo// val triggerTiming = Vec(10, Bool()) 58884e47f35SLi Qianruo// val triggerChainVec = Vec(5, Bool()) 58984e47f35SLi Qianruo//} 59084e47f35SLi Qianruo 59172951335SLi Qianruoclass TriggerCf(implicit p: Parameters) extends XSBundle { 59284e47f35SLi Qianruo // frontend 59384e47f35SLi Qianruo val frontendHit = Vec(4, Bool()) 594ddb65c47SLi Qianruo// val frontendTiming = Vec(4, Bool()) 595ddb65c47SLi Qianruo// val frontendHitNext = Vec(4, Bool()) 59684e47f35SLi Qianruo 597ddb65c47SLi Qianruo// val frontendException = Bool() 59884e47f35SLi Qianruo // backend 59984e47f35SLi Qianruo val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4) 60084e47f35SLi Qianruo val backendHit = Vec(6, Bool()) 601ddb65c47SLi Qianruo// val backendTiming = Vec(6, Bool()) // trigger enable fro chain 60284e47f35SLi Qianruo 60384e47f35SLi Qianruo // Two situations not allowed: 60484e47f35SLi Qianruo // 1. load data comparison 60584e47f35SLi Qianruo // 2. store chaining with store 60684e47f35SLi Qianruo def getHitFrontend = frontendHit.reduce(_ || _) 60784e47f35SLi Qianruo def getHitBackend = backendHit.reduce(_ || _) 608ddb65c47SLi Qianruo def hit = getHitFrontend || getHitBackend 609d7dd1af1SLi Qianruo def clear(): Unit = { 610d7dd1af1SLi Qianruo frontendHit.foreach(_ := false.B) 611d7dd1af1SLi Qianruo backendEn.foreach(_ := false.B) 612d7dd1af1SLi Qianruo backendHit.foreach(_ := false.B) 613d7dd1af1SLi Qianruo } 61472951335SLi Qianruo} 61572951335SLi Qianruo 616bc63e578SLi Qianruo// these 3 bundles help distribute trigger control signals from CSR 617bc63e578SLi Qianruo// to Frontend, Load and Store. 61872951335SLi Qianruoclass FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle { 61972951335SLi Qianruo val t = Valid(new Bundle { 62072951335SLi Qianruo val addr = Output(UInt(2.W)) 62172951335SLi Qianruo val tdata = new MatchTriggerIO 62272951335SLi Qianruo }) 62372951335SLi Qianruo } 62472951335SLi Qianruo 62572951335SLi Qianruoclass MemTdataDistributeIO(implicit p: Parameters) extends XSBundle { 62672951335SLi Qianruo val t = Valid(new Bundle { 62772951335SLi Qianruo val addr = Output(UInt(3.W)) 62872951335SLi Qianruo val tdata = new MatchTriggerIO 62972951335SLi Qianruo }) 63072951335SLi Qianruo} 63172951335SLi Qianruo 63272951335SLi Qianruoclass MatchTriggerIO(implicit p: Parameters) extends XSBundle { 63372951335SLi Qianruo val matchType = Output(UInt(2.W)) 63472951335SLi Qianruo val select = Output(Bool()) 63572951335SLi Qianruo val timing = Output(Bool()) 63672951335SLi Qianruo val action = Output(Bool()) 63772951335SLi Qianruo val chain = Output(Bool()) 63872951335SLi Qianruo val tdata2 = Output(UInt(64.W)) 63972951335SLi Qianruo} 640