xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision ba4100ca9046c0fff0a2ce8c1be52efa1106f234)
11e3fad10SLinJiaweipackage xiangshan
21e3fad10SLinJiawei
31e3fad10SLinJiaweiimport chisel3._
45844fcf0SLinJiaweiimport chisel3.util._
5e402d94eSWilliam Wangimport bus.simplebus._
6bfa4b2b4SLinJiaweiimport xiangshan.backend.brq.BrqPtr
70851457fSLinJiaweiimport xiangshan.backend.rename.FreeListPtr
866b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo
9f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter
10f3501106SGouLingruiimport xiangshan.frontend.HasTageParameter
111e3fad10SLinJiawei
125844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache
131e3fad10SLinJiaweiclass FetchPacket extends XSBundle {
1428958354Szhanglinjuan  val instrs = Vec(PredictWidth, UInt(32.W))
1528958354Szhanglinjuan  val mask = UInt(PredictWidth.W)
1642696a74Szhanglinjuan  // val pc = UInt(VAddrBits.W)
1742696a74Szhanglinjuan  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
1828958354Szhanglinjuan  val pnpc = Vec(PredictWidth, UInt(VAddrBits.W))
19a428082bSLinJiawei  val brInfo = Vec(PredictWidth, new BranchInfo)
20a428082bSLinJiawei  val pd = Vec(PredictWidth, new PreDecodeInfo)
215a67e465Szhanglinjuan  val ipf = Bool()
225a67e465Szhanglinjuan  val crossPageIPFFix = Bool()
231e3fad10SLinJiawei}
241e3fad10SLinJiawei
25627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
263803411bSzhanglinjuan  val valid = Bool()
2735fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
28627c0a19Szhanglinjuan  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
293803411bSzhanglinjuan}
303803411bSzhanglinjuan
31627c0a19Szhanglinjuanobject ValidUndirectioned {
32627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
33627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
343803411bSzhanglinjuan  }
353803411bSzhanglinjuan}
363803411bSzhanglinjuan
37f3501106SGouLingruiclass TageMeta extends XSBundle with HasTageParameter {
38627c0a19Szhanglinjuan  val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
391e7d14a8Szhanglinjuan  val altDiffers = Bool()
401e7d14a8Szhanglinjuan  val providerU = UInt(2.W)
411e7d14a8Szhanglinjuan  val providerCtr = UInt(3.W)
42627c0a19Szhanglinjuan  val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
431e7d14a8Szhanglinjuan}
441e7d14a8Szhanglinjuan
4566b0d0c3Szhanglinjuanclass BranchPrediction extends XSBundle {
4666b0d0c3Szhanglinjuan  val redirect = Bool()
47e3aeae54SLingrui98  val taken = Bool()
4866b0d0c3Szhanglinjuan  val jmpIdx = UInt(log2Up(PredictWidth).W)
49e3aeae54SLingrui98  val hasNotTakenBrs = Bool()
5066b0d0c3Szhanglinjuan  val target = UInt(VAddrBits.W)
5166b0d0c3Szhanglinjuan  val saveHalfRVI = Bool()
524a5c1190SGouLingrui  val takenOnBr = Bool()
5366b0d0c3Szhanglinjuan}
5466b0d0c3Szhanglinjuan
55f00290d7SLingrui98class BranchInfo extends XSBundle with HasBPUParameter {
5653bf6077SLingrui98  val ubtbWriteWay = UInt(log2Up(UBtbWays).W)
57e3aeae54SLingrui98  val ubtbHits = Bool()
5853bf6077SLingrui98  val btbWriteWay = UInt(log2Up(BtbWays).W)
59035fad39SGouLingrui  val btbHitJal = Bool()
60e3aeae54SLingrui98  val bimCtr = UInt(2.W)
6166b0d0c3Szhanglinjuan  val histPtr = UInt(log2Up(ExtHistoryLength).W)
624a9bbf04SGouLingrui  val predHistPtr = UInt(log2Up(ExtHistoryLength).W)
63f226232fSzhanglinjuan  val tageMeta = new TageMeta
6466b0d0c3Szhanglinjuan  val rasSp = UInt(log2Up(RasSize).W)
6566b0d0c3Szhanglinjuan  val rasTopCtr = UInt(8.W)
66ed809609Sjinyue110  val rasToqAddr = UInt(VAddrBits.W)
67c5ed092cSLingrui98  val fetchIdx = UInt(log2Up(PredictWidth).W)
687d053a60Szhanglinjuan  val specCnt = UInt(10.W)
694a5c1190SGouLingrui  val sawNotTakenBranch = Bool()
70f226232fSzhanglinjuan
713a48285bSGouLingrui  val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
723a48285bSGouLingrui  val debug_btb_cycle  = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
733a48285bSGouLingrui  val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
74ec776fa0SLingrui98
75f226232fSzhanglinjuan  def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = {
76f226232fSzhanglinjuan    this.histPtr := histPtr
77f226232fSzhanglinjuan    this.tageMeta := tageMeta
78f226232fSzhanglinjuan    this.rasSp := rasSp
7980d2974bSLingrui98    this.rasTopCtr := rasTopCtr
80f226232fSzhanglinjuan    this.asUInt
81f226232fSzhanglinjuan  }
82f226232fSzhanglinjuan  def size = 0.U.asTypeOf(this).getWidth
83f226232fSzhanglinjuan  def fromUInt(x: UInt) = x.asTypeOf(this)
8466b0d0c3Szhanglinjuan}
8566b0d0c3Szhanglinjuan
866fb61704Szhanglinjuanclass Predecode extends XSBundle {
87e9199ec7Szhanglinjuan  val isFetchpcEqualFirstpc = Bool()
882f931f37Szhanglinjuan  val mask = UInt((FetchWidth*2).W)
8966b0d0c3Szhanglinjuan  val pd = Vec(FetchWidth*2, (new PreDecodeInfo))
906fb61704Szhanglinjuan}
916fb61704Szhanglinjuan
92b2e6921eSLinJiaweiclass BranchUpdateInfo extends XSBundle {
93f226232fSzhanglinjuan  // from backend
9469cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
95608ba82cSzhanglinjuan  val pnpc = UInt(VAddrBits.W)
9669cafcc9SLingrui98  val target = UInt(VAddrBits.W)
97b2e6921eSLinJiawei  val brTarget = UInt(VAddrBits.W)
98b2e6921eSLinJiawei  val taken = Bool()
99b2e6921eSLinJiawei  val fetchIdx = UInt(log2Up(FetchWidth*2).W)
100b2e6921eSLinJiawei  val isMisPred = Bool()
101e965d004Szhanglinjuan  val brTag = new BrqPtr
102f226232fSzhanglinjuan
103f226232fSzhanglinjuan  // frontend -> backend -> frontend
104f226232fSzhanglinjuan  val pd = new PreDecodeInfo
105f226232fSzhanglinjuan  val brInfo = new BranchInfo
106b2e6921eSLinJiawei}
107b2e6921eSLinJiawei
1085844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
1095844fcf0SLinJiaweiclass CtrlFlow extends XSBundle {
1105844fcf0SLinJiawei  val instr = UInt(32.W)
1115844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
1125844fcf0SLinJiawei  val exceptionVec = Vec(16, Bool())
1135844fcf0SLinJiawei  val intrVec = Vec(12, Bool())
114b2e6921eSLinJiawei  val brUpdate = new BranchUpdateInfo
115c84054caSLinJiawei  val crossPageIPFFix = Bool()
1165844fcf0SLinJiawei}
1175844fcf0SLinJiawei
1185844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
1195844fcf0SLinJiaweiclass CtrlSignals extends XSBundle {
1209a2e6b8aSLinJiawei  val src1Type, src2Type, src3Type = SrcType()
1219a2e6b8aSLinJiawei  val lsrc1, lsrc2, lsrc3 = UInt(5.W)
1229a2e6b8aSLinJiawei  val ldest = UInt(5.W)
1239a2e6b8aSLinJiawei  val fuType = FuType()
1249a2e6b8aSLinJiawei  val fuOpType = FuOpType()
1259a2e6b8aSLinJiawei  val rfWen = Bool()
1269a2e6b8aSLinJiawei  val fpWen = Bool()
1279a2e6b8aSLinJiawei  val isXSTrap = Bool()
1289a2e6b8aSLinJiawei  val noSpecExec = Bool()  // This inst can not be speculated
1299a2e6b8aSLinJiawei  val isBlocked  = Bool()  // This inst requires pipeline to be blocked
13045a56a29SZhangZifei  val flushPipe  = Bool()  // This inst will flush all the pipe when commit, like exception but can commit
131db34a189SLinJiawei  val isRVF = Bool()
132db34a189SLinJiawei  val imm = UInt(XLEN.W)
133a3edac52SYinan Xu  val commitType = CommitType()
1345844fcf0SLinJiawei}
1355844fcf0SLinJiawei
1365844fcf0SLinJiaweiclass CfCtrl extends XSBundle {
1375844fcf0SLinJiawei  val cf = new CtrlFlow
1385844fcf0SLinJiawei  val ctrl = new CtrlSignals
139bfa4b2b4SLinJiawei  val brTag = new BrqPtr
1405844fcf0SLinJiawei}
1415844fcf0SLinJiawei
142b2e6921eSLinJiaweitrait HasRoqIdx { this: HasXSParameter =>
143b2e6921eSLinJiawei  val roqIdx = UInt(RoqIdxWidth.W)
144054d37b6SLinJiawei
145054d37b6SLinJiawei  def isAfter(thatIdx: UInt): Bool = {
146054d37b6SLinJiawei    Mux(
147054d37b6SLinJiawei      this.roqIdx.head(1) === thatIdx.head(1),
148054d37b6SLinJiawei      this.roqIdx.tail(1) > thatIdx.tail(1),
149054d37b6SLinJiawei      this.roqIdx.tail(1) < thatIdx.tail(1)
150b2e6921eSLinJiawei    )
151b2e6921eSLinJiawei  }
152054d37b6SLinJiawei
153152e2ceaSLinJiawei  def isAfter[ T<: HasRoqIdx ](that: T): Bool = {
154152e2ceaSLinJiawei    isAfter(that.roqIdx)
155152e2ceaSLinJiawei  }
156152e2ceaSLinJiawei
157054d37b6SLinJiawei  def needFlush(redirect: Valid[Redirect]): Bool = {
158be4f8987SZhangZifei    redirect.valid && (redirect.bits.isException || redirect.bits.isFlushPipe || this.isAfter(redirect.bits.roqIdx)) // TODO: need check by JiaWei
159054d37b6SLinJiawei  }
160b2e6921eSLinJiawei}
1615844fcf0SLinJiawei
162*ba4100caSYinan Xuclass PerfDebugInfo extends XSBundle {
163*ba4100caSYinan Xu  // val fetchTime = UInt(64.W)
164*ba4100caSYinan Xu  val renameTime = UInt(64.W)
165*ba4100caSYinan Xu  val inRoqTime = UInt(64.W)
166*ba4100caSYinan Xu  val issueTime = UInt(64.W)
167*ba4100caSYinan Xu  val writebackTime = UInt(64.W)
168*ba4100caSYinan Xu  val commitTime = UInt(64.W)
169*ba4100caSYinan Xu}
170*ba4100caSYinan Xu
171b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
172b2e6921eSLinJiaweiclass MicroOp extends CfCtrl with HasRoqIdx {
1739a2e6b8aSLinJiawei  val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W)
1749a2e6b8aSLinJiawei  val src1State, src2State, src3State = SrcState()
175c105c2d3SYinan Xu  val lsroqIdx = UInt(LsroqIdxWidth.W)
176355fcd20SAllen  val diffTestDebugLrScValid = Bool()
177*ba4100caSYinan Xu  val debugInfo = PerfDebugInfo()
1785844fcf0SLinJiawei}
1795844fcf0SLinJiawei
180b2e6921eSLinJiaweiclass Redirect extends XSBundle with HasRoqIdx {
18137fcf7fbSLinJiawei  val isException = Bool()
182b2e6921eSLinJiawei  val isMisPred = Bool()
183b2e6921eSLinJiawei  val isReplay = Bool()
18445a56a29SZhangZifei  val isFlushPipe = Bool()
185b2e6921eSLinJiawei  val pc = UInt(VAddrBits.W)
186b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
187b2e6921eSLinJiawei  val brTag = new BrqPtr
188a25b1bceSLinJiawei}
189a25b1bceSLinJiawei
1905844fcf0SLinJiaweiclass Dp1ToDp2IO extends XSBundle {
1915c7b21d5SYinan Xu  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
1925c7b21d5SYinan Xu  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
1935c7b21d5SYinan Xu  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
1945844fcf0SLinJiawei}
1955844fcf0SLinJiawei
19660deaca2SLinJiaweiclass ReplayPregReq extends XSBundle {
19760deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
19860deaca2SLinJiawei  val isInt = Bool()
19960deaca2SLinJiawei  val isFp = Bool()
20060deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
2015844fcf0SLinJiawei}
2025844fcf0SLinJiawei
203e402d94eSWilliam Wangclass DebugBundle extends XSBundle{
20472235fa4SWilliam Wang  val isMMIO = Bool()
205e402d94eSWilliam Wang}
2065844fcf0SLinJiawei
2075844fcf0SLinJiaweiclass ExuInput extends XSBundle {
2085844fcf0SLinJiawei  val uop = new MicroOp
2095844fcf0SLinJiawei  val src1, src2, src3 = UInt(XLEN.W)
2105844fcf0SLinJiawei}
2115844fcf0SLinJiawei
2125844fcf0SLinJiaweiclass ExuOutput extends XSBundle {
2135844fcf0SLinJiawei  val uop = new MicroOp
2145844fcf0SLinJiawei  val data = UInt(XLEN.W)
21597cfa7f8SLinJiawei  val redirectValid = Bool()
21697cfa7f8SLinJiawei  val redirect = new Redirect
217b2e6921eSLinJiawei  val brUpdate = new BranchUpdateInfo
218e402d94eSWilliam Wang  val debug = new DebugBundle
2195844fcf0SLinJiawei}
2205844fcf0SLinJiawei
2215844fcf0SLinJiaweiclass ExuIO extends XSBundle {
2225844fcf0SLinJiawei  val in = Flipped(DecoupledIO(new ExuInput))
223c3174e61SZhangZifei  val redirect = Flipped(ValidIO(new Redirect))
2245844fcf0SLinJiawei  val out = DecoupledIO(new ExuOutput)
225bf9968b2SYinan Xu  // for csr
226bf9968b2SYinan Xu  val exception = Flipped(ValidIO(new MicroOp))
227e402d94eSWilliam Wang  // for Lsu
228e402d94eSWilliam Wang  val dmem = new SimpleBusUC
22911915f69SWilliam Wang  val mcommit = Input(UInt(3.W))
2305844fcf0SLinJiawei}
2315844fcf0SLinJiawei
2325844fcf0SLinJiaweiclass RoqCommit extends XSBundle {
2335844fcf0SLinJiawei  val uop = new MicroOp
234296e7422SLinJiawei  val isWalk = Bool()
2355844fcf0SLinJiawei}
2365844fcf0SLinJiawei
237037a131fSWilliam Wangclass TlbFeedback extends XSBundle with HasRoqIdx{
238037a131fSWilliam Wang  val hit = Bool()
239037a131fSWilliam Wang}
240037a131fSWilliam Wang
2415844fcf0SLinJiaweiclass FrontendToBackendIO extends XSBundle {
2425844fcf0SLinJiawei  // to backend end
2435844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
2445844fcf0SLinJiawei  // from backend
245b2e6921eSLinJiawei  val redirect = Flipped(ValidIO(new Redirect))
246b2e6921eSLinJiawei  val outOfOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
247b2e6921eSLinJiawei  val inOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
2481e3fad10SLinJiawei}
249fcff7e94SZhangZifei
250fcff7e94SZhangZifeiclass TlbCsrBundle extends XSBundle {
251fcff7e94SZhangZifei  val satp = new Bundle {
252fcff7e94SZhangZifei    val mode = UInt(4.W) // TODO: may change number to parameter
253fcff7e94SZhangZifei    val asid = UInt(16.W)
254fcff7e94SZhangZifei    val ppn  = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
255fcff7e94SZhangZifei  }
256fcff7e94SZhangZifei  val priv = new Bundle {
257fcff7e94SZhangZifei    val mxr = Bool()
258fcff7e94SZhangZifei    val sum = Bool()
259fcff7e94SZhangZifei    val imode = UInt(2.W)
260fcff7e94SZhangZifei    val dmode = UInt(2.W)
261fcff7e94SZhangZifei  }
2628fc4e859SZhangZifei
2638fc4e859SZhangZifei  override def toPrintable: Printable = {
2648fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
2658fc4e859SZhangZifei    p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
2668fc4e859SZhangZifei  }
267fcff7e94SZhangZifei}
268fcff7e94SZhangZifei
269fcff7e94SZhangZifeiclass SfenceBundle extends XSBundle {
270fcff7e94SZhangZifei  val valid = Bool()
271fcff7e94SZhangZifei  val bits = new Bundle {
272fcff7e94SZhangZifei    val rs1 = Bool()
273fcff7e94SZhangZifei    val rs2 = Bool()
274fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
275fcff7e94SZhangZifei  }
2768fc4e859SZhangZifei
2778fc4e859SZhangZifei  override def toPrintable: Printable = {
2788fc4e859SZhangZifei    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
2798fc4e859SZhangZifei  }
280fcff7e94SZhangZifei}
281