xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision ac17908cfd3440b59f66a20ffb9d1962f2aceb1c)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
171e3fad10SLinJiaweipackage xiangshan
181e3fad10SLinJiawei
1983ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters
201e3fad10SLinJiaweiimport chisel3._
213b739f49SXuan Huimport chisel3.util.BitPat.bitPatToUInt
225844fcf0SLinJiaweiimport chisel3.util._
233b739f49SXuan Huimport utility._
243b739f49SXuan Huimport utils._
25de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode}
26730cfbc0SXuan Huimport xiangshan.backend.fu.FuType
273b739f49SXuan Huimport xiangshan.backend.rob.RobPtr
283b739f49SXuan Huimport xiangshan.frontend._
295c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr}
30b1712600SZiyue Zhangimport xiangshan.backend.Bundles.{DynInst, UopIdx}
31b52d4755SXuan Huimport xiangshan.backend.fu.vector.Bundles.VType
32d7ac23a3SEaston Manimport xiangshan.frontend.{AllAheadFoldedHistoryOldestBits, AllFoldedHistories, BPUCtrl, CGHPtr, FtqPtr, FtqToCtrlIO}
33d7ac23a3SEaston Manimport xiangshan.frontend.{Ftq_Redirect_SRAMEntry, HasBPUParameter, IfuToBackendIO, PreDecodeInfo, RASPtr}
34b9e121dfShappy-lximport xiangshan.cache.HasDCacheParameters
353c02ee8fSwakafaimport utility._
36b0ae3ac4SLinJiawei
378891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
3888825c5cSYinan Xuimport chisel3.util.BitPat.bitPatToUInt
397720a376Sfdyimport chisel3.util.experimental.decode.EspressoMinimizer
4024519898SXuan Huimport xiangshan.backend.CtrlToFtqIO
41a7a6d0a6Schengguanghuiimport xiangshan.backend.fu.NewCSR.{Mcontrol, Tdata1Bundle, Tdata2Bundle}
42b6982e83SLemoverimport xiangshan.backend.fu.PMPEntry
4314a6653fSLingrui98import xiangshan.frontend.Ftq_Redirect_SRAMEntry
44dd6c0695SLingrui98import xiangshan.frontend.AllFoldedHistories
4567402d75SLingrui98import xiangshan.frontend.AllAheadFoldedHistoryOldestBits
46c89b4642SGuokai Chenimport xiangshan.frontend.RASPtr
47780712aaSxiaofeibao-xjtuimport xiangshan.backend.rob.RobBundles.RobCommitEntryBundle
481e3fad10SLinJiawei
49627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
503803411bSzhanglinjuan  val valid = Bool()
5135fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
52fe211d16SLinJiawei
533803411bSzhanglinjuan}
543803411bSzhanglinjuan
55627c0a19Szhanglinjuanobject ValidUndirectioned {
56627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
57627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
583803411bSzhanglinjuan  }
593803411bSzhanglinjuan}
603803411bSzhanglinjuan
611b7adedcSWilliam Wangobject RSFeedbackType {
6268d13085SXuan Hu  val lrqFull         = 0.U(4.W)
6368d13085SXuan Hu  val tlbMiss         = 1.U(4.W)
6468d13085SXuan Hu  val mshrFull        = 2.U(4.W)
6568d13085SXuan Hu  val dataInvalid     = 3.U(4.W)
6668d13085SXuan Hu  val bankConflict    = 4.U(4.W)
6768d13085SXuan Hu  val ldVioCheckRedo  = 5.U(4.W)
68cee61068Sfdy  val feedbackInvalid = 7.U(4.W)
69cee61068Sfdy  val issueSuccess    = 8.U(4.W)
70ea0f92d8Sczw  val rfArbitFail     = 9.U(4.W)
71ea0f92d8Sczw  val fuIdle          = 10.U(4.W)
72ea0f92d8Sczw  val fuBusy          = 11.U(4.W)
73d54d930bSfdy  val fuUncertain     = 12.U(4.W)
74eb163ef0SHaojin Tang
7568d13085SXuan Hu  val allTypes = 16
76cee61068Sfdy  def apply() = UInt(4.W)
7761d88ec2SXuan Hu
7861d88ec2SXuan Hu  def isStageSuccess(feedbackType: UInt) = {
79cee61068Sfdy    feedbackType === issueSuccess
8061d88ec2SXuan Hu  }
81965c972cSXuan Hu
82965c972cSXuan Hu  def isBlocked(feedbackType: UInt) = {
83b536da76SXuan Hu    feedbackType === rfArbitFail || feedbackType === fuBusy || feedbackType >= lrqFull && feedbackType <= feedbackInvalid
84965c972cSXuan Hu  }
851b7adedcSWilliam Wang}
861b7adedcSWilliam Wang
872225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle {
88097c2688SLingrui98  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
89097c2688SLingrui98  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
90097c2688SLingrui98  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
9151b2a476Szoujr}
9251b2a476Szoujr
932225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter {
94f226232fSzhanglinjuan  // from backend
9569cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
96f226232fSzhanglinjuan  // frontend -> backend -> frontend
97f226232fSzhanglinjuan  val pd = new PreDecodeInfo
98c89b4642SGuokai Chen  val ssp = UInt(log2Up(RasSize).W)
99e3704ae5Smy-mayfly  val sctr = UInt(RasCtrSize.W)
100c89b4642SGuokai Chen  val TOSW = new RASPtr
101c89b4642SGuokai Chen  val TOSR = new RASPtr
102c89b4642SGuokai Chen  val NOS = new RASPtr
103c89b4642SGuokai Chen  val topAddr = UInt(VAddrBits.W)
104c2ad24ebSLingrui98  // val hist = new ShiftingGlobalHistory
105dd6c0695SLingrui98  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
10667402d75SLingrui98  val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)
10767402d75SLingrui98  val lastBrNumOH = UInt((numBr+1).W)
108b37e4b45SLingrui98  val ghr = UInt(UbtbGHRLength.W)
109c2ad24ebSLingrui98  val histPtr = new CGHPtr
110e7b046c5Szoujr  val specCnt = Vec(numBr, UInt(10.W))
111fe3a74fcSYinan Xu  // need pipeline update
112d2b20d1aSTang Haojin  val br_hit = Bool() // if in ftb entry
113d2b20d1aSTang Haojin  val jr_hit = Bool() // if in ftb entry
114d2b20d1aSTang Haojin  val sc_hit = Bool() // if used in ftb entry, invalid if !br_hit
1152e947747SLinJiawei  val predTaken = Bool()
116b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
1179a2e6b8aSLinJiawei  val taken = Bool()
118b2e6921eSLinJiawei  val isMisPred = Bool()
119d0527adfSzoujr  val shift = UInt((log2Ceil(numBr)+1).W)
120d0527adfSzoujr  val addIntoHist = Bool()
12114a6653fSLingrui98
12214a6653fSLingrui98  def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = {
123c2ad24ebSLingrui98    // this.hist := entry.ghist
124c2ad24ebSLingrui98    this.histPtr := entry.histPtr
125c89b4642SGuokai Chen    this.ssp := entry.ssp
126c89b4642SGuokai Chen    this.sctr := entry.sctr
127c89b4642SGuokai Chen    this.TOSW := entry.TOSW
128c89b4642SGuokai Chen    this.TOSR := entry.TOSR
129c89b4642SGuokai Chen    this.NOS := entry.NOS
130c89b4642SGuokai Chen    this.topAddr := entry.topAddr
13114a6653fSLingrui98    this
13214a6653fSLingrui98  }
133b2e6921eSLinJiawei}
134b2e6921eSLinJiawei
1355844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
136de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle {
1375844fcf0SLinJiawei  val instr = UInt(32.W)
1385844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
139de169c67SWilliam Wang  val foldpc = UInt(MemPredPCWidth.W)
140baf8def6SYinan Xu  val exceptionVec = ExceptionVec()
14172951335SLi Qianruo  val trigger = new TriggerCf
142faf3cfa9SLinJiawei  val pd = new PreDecodeInfo
143cde9280dSLinJiawei  val pred_taken = Bool()
144c84054caSLinJiawei  val crossPageIPFFix = Bool()
145de169c67SWilliam Wang  val storeSetHit = Bool() // inst has been allocated an store set
146980c1bc3SWilliam Wang  val waitForRobIdx = new RobPtr // store set predicted previous store robIdx
147d1fe0262SWilliam Wang  // Load wait is needed
148d1fe0262SWilliam Wang  // load inst will not be executed until former store (predicted by mdp) addr calcuated
149d1fe0262SWilliam Wang  val loadWaitBit = Bool()
150d1fe0262SWilliam Wang  // If (loadWaitBit && loadWaitStrict), strict load wait is needed
151d1fe0262SWilliam Wang  // load inst will not be executed until ALL former store addr calcuated
152d1fe0262SWilliam Wang  val loadWaitStrict = Bool()
153de169c67SWilliam Wang  val ssid = UInt(SSIDWidth.W)
154884dbb3bSLinJiawei  val ftqPtr = new FtqPtr
155884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
1565844fcf0SLinJiawei}
1575844fcf0SLinJiawei
15872951335SLi Qianruo
1592225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle {
1602ce29ed6SLinJiawei  val isAddSub = Bool() // swap23
161dc597826SJiawei Lin  val typeTagIn = UInt(1.W)
162dc597826SJiawei Lin  val typeTagOut = UInt(1.W)
1632ce29ed6SLinJiawei  val fromInt = Bool()
1642ce29ed6SLinJiawei  val wflags = Bool()
1652ce29ed6SLinJiawei  val fpWen = Bool()
1662ce29ed6SLinJiawei  val fmaCmd = UInt(2.W)
1672ce29ed6SLinJiawei  val div = Bool()
1682ce29ed6SLinJiawei  val sqrt = Bool()
1692ce29ed6SLinJiawei  val fcvt = Bool()
1702ce29ed6SLinJiawei  val typ = UInt(2.W)
1712ce29ed6SLinJiawei  val fmt = UInt(2.W)
1722ce29ed6SLinJiawei  val ren3 = Bool() //TODO: remove SrcType.fp
173e6c6b64fSLinJiawei  val rm = UInt(3.W)
174579b9f28SLinJiawei}
175579b9f28SLinJiawei
1765844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
1772225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle {
1788744445eSMaxpicca-Li  val debug_globalID = UInt(XLEN.W)
179a7a8a6ccSHaojin Tang  val srcType = Vec(4, SrcType())
180ad5c9e6eSJunxiong Ji  val lsrc = Vec(4, UInt(LogicRegsWidth.W))
181ad5c9e6eSJunxiong Ji  val ldest = UInt(LogicRegsWidth.W)
1829a2e6b8aSLinJiawei  val fuType = FuType()
1839a2e6b8aSLinJiawei  val fuOpType = FuOpType()
1849a2e6b8aSLinJiawei  val rfWen = Bool()
1859a2e6b8aSLinJiawei  val fpWen = Bool()
186deb6421eSHaojin Tang  val vecWen = Bool()
1879a2e6b8aSLinJiawei  val isXSTrap = Bool()
1882d366136SLinJiawei  val noSpecExec = Bool() // wait forward
1892d366136SLinJiawei  val blockBackward = Bool() // block backward
19045a56a29SZhangZifei  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
191e2695e90SzhanglyGit  val uopSplitType = UopSplitType()
192c2a8ae00SYikeZhou  val selImm = SelImm()
193780712aaSxiaofeibao-xjtu  val imm = UInt(32.W)
194a3edac52SYinan Xu  val commitType = CommitType()
195579b9f28SLinJiawei  val fpu = new FPUCtrlSignals
196b1712600SZiyue Zhang  val uopIdx = UopIdx()
197aac4464eSYinan Xu  val isMove = Bool()
1981a0debc2Sczw  val vm = Bool()
199d4aca96cSlqre  val singleStep = Bool()
200c88c3a2aSYinan Xu  // This inst will flush all the pipe when it is the oldest inst in ROB,
201c88c3a2aSYinan Xu  // then replay from this inst itself
202c88c3a2aSYinan Xu  val replayInst = Bool()
20389cc69c1STang Haojin  val canRobCompress = Bool()
204be25371aSYikeZhou
20557a10886SXuan Hu  private def allSignals = srcType.take(3) ++ Seq(fuType, fuOpType, rfWen, fpWen, vecWen,
20689cc69c1STang Haojin    isXSTrap, noSpecExec, blockBackward, flushPipe, canRobCompress, uopSplitType, selImm)
20788825c5cSYinan Xu
20888825c5cSYinan Xu  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = {
2097720a376Sfdy    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table, EspressoMinimizer)
21088825c5cSYinan Xu    allSignals zip decoder foreach { case (s, d) => s := d }
2114d24c305SYikeZhou    commitType := DontCare
212be25371aSYikeZhou    this
213be25371aSYikeZhou  }
21488825c5cSYinan Xu
21588825c5cSYinan Xu  def decode(bit: List[BitPat]): CtrlSignals = {
21688825c5cSYinan Xu    allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d }
21788825c5cSYinan Xu    this
21888825c5cSYinan Xu  }
219b6900d94SYinan Xu
2203b739f49SXuan Hu  def isWFI: Bool = fuType === FuType.csr.U && fuOpType === CSROpType.wfi
221f025d715SYinan Xu  def isSoftPrefetch: Bool = {
2223b739f49SXuan Hu    fuType === FuType.alu.U && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U
223f025d715SYinan Xu  }
2243d1a5c10Smaliao  def needWriteRf: Bool = (rfWen && ldest =/= 0.U) || fpWen || vecWen
225d0de7e4aSpeixiaokun  def isHyperInst: Bool = {
226e25e4d90SXuan Hu    fuType === FuType.ldu.U && LSUOpType.isHlv(fuOpType) || fuType === FuType.stu.U && LSUOpType.isHsv(fuOpType)
227d0de7e4aSpeixiaokun  }
2285844fcf0SLinJiawei}
2295844fcf0SLinJiawei
2302225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle {
2315844fcf0SLinJiawei  val cf = new CtrlFlow
2325844fcf0SLinJiawei  val ctrl = new CtrlSignals
2335844fcf0SLinJiawei}
2345844fcf0SLinJiawei
2352225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle {
2368b8e745dSYikeZhou  val eliminatedMove = Bool()
2378744445eSMaxpicca-Li  // val fetchTime = UInt(XLEN.W)
238ebb8ebf8SYinan Xu  val renameTime = UInt(XLEN.W)
239ebb8ebf8SYinan Xu  val dispatchTime = UInt(XLEN.W)
240ebb8ebf8SYinan Xu  val enqRsTime = UInt(XLEN.W)
241ebb8ebf8SYinan Xu  val selectTime = UInt(XLEN.W)
242ebb8ebf8SYinan Xu  val issueTime = UInt(XLEN.W)
243ebb8ebf8SYinan Xu  val writebackTime = UInt(XLEN.W)
2448744445eSMaxpicca-Li  // val commitTime = UInt(XLEN.W)
2458744445eSMaxpicca-Li  val runahead_checkpoint_id = UInt(XLEN.W)
2468744445eSMaxpicca-Li  val tlbFirstReqTime = UInt(XLEN.W)
2478744445eSMaxpicca-Li  val tlbRespTime = UInt(XLEN.W) // when getting hit result (including delay in L2TLB hit)
248ba4100caSYinan Xu}
249ba4100caSYinan Xu
25048d1472eSWilliam Wang// Separate LSQ
2512225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle {
252915c0dd4SYinan Xu  val lqIdx = new LqPtr
2535c1ae31bSYinan Xu  val sqIdx = new SqPtr
25424726fbfSWilliam Wang}
25524726fbfSWilliam Wang
256b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
2572225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl {
258a7a8a6ccSHaojin Tang  val srcState = Vec(4, SrcState())
259a7a8a6ccSHaojin Tang  val psrc = Vec(4, UInt(PhyRegIdxWidth.W))
26020e31bd1SYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
2619aca92b9SYinan Xu  val robIdx = new RobPtr
26289cc69c1STang Haojin  val instrSize = UInt(log2Ceil(RenameWidth + 1).W)
263fe6452fcSYinan Xu  val lqIdx = new LqPtr
264fe6452fcSYinan Xu  val sqIdx = new SqPtr
2658b8e745dSYikeZhou  val eliminatedMove = Bool()
266fa7f2c26STang Haojin  val snapshot = Bool()
2677cef916fSYinan Xu  val debugInfo = new PerfDebugInfo
2689d4e1137SYinan Xu  def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = {
269bcce877bSYinan Xu    val stateReady = srcState(index) === SrcState.rdy || ignoreState.B
270bcce877bSYinan Xu    val readReg = if (isFp) {
271bcce877bSYinan Xu      ctrl.srcType(index) === SrcType.fp
272bcce877bSYinan Xu    } else {
273bcce877bSYinan Xu      ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U
274a338f247SYinan Xu    }
275bcce877bSYinan Xu    readReg && stateReady
276a338f247SYinan Xu  }
2775c7674feSYinan Xu  def srcIsReady: Vec[Bool] = {
278c9ebdf90SYinan Xu    VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy })
2795c7674feSYinan Xu  }
2806ab6918fSYinan Xu  def clearExceptions(
2816ab6918fSYinan Xu    exceptionBits: Seq[Int] = Seq(),
2826ab6918fSYinan Xu    flushPipe: Boolean = false,
2836ab6918fSYinan Xu    replayInst: Boolean = false
2846ab6918fSYinan Xu  ): MicroOp = {
2856ab6918fSYinan Xu    cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B)
2866ab6918fSYinan Xu    if (!flushPipe) { ctrl.flushPipe := false.B }
2876ab6918fSYinan Xu    if (!replayInst) { ctrl.replayInst := false.B }
288c88c3a2aSYinan Xu    this
289c88c3a2aSYinan Xu  }
2905844fcf0SLinJiawei}
2915844fcf0SLinJiawei
29246f74b57SHaojin Tangclass XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle {
293dfb4c5dcSXuan Hu  val uop = new DynInst
29446f74b57SHaojin Tang}
29546f74b57SHaojin Tang
29646f74b57SHaojin Tangclass MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp {
297de169c67SWilliam Wang  val flag = UInt(1.W)
2981e3fad10SLinJiawei}
299de169c67SWilliam Wang
3002225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle {
30114a67055Ssfencevma  val isRVC = Bool()
3029aca92b9SYinan Xu  val robIdx = new RobPtr
30336d7aed5SLinJiawei  val ftqIdx = new FtqPtr
30436d7aed5SLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
305bfb958a3SYinan Xu  val level = RedirectLevel()
306bfb958a3SYinan Xu  val interrupt = Bool()
307c778d2afSLinJiawei  val cfiUpdate = new CfiUpdateInfo
308bfb958a3SYinan Xu
309de169c67SWilliam Wang  val stFtqIdx = new FtqPtr // for load violation predict
310de169c67SWilliam Wang  val stFtqOffset = UInt(log2Up(PredictWidth).W)
311fe211d16SLinJiawei
31220edb3f7SWilliam Wang  val debug_runahead_checkpoint_id = UInt(64.W)
313d2b20d1aSTang Haojin  val debugIsCtrl = Bool()
314d2b20d1aSTang Haojin  val debugIsMemVio = Bool()
31520edb3f7SWilliam Wang
316bfb958a3SYinan Xu  def flushItself() = RedirectLevel.flushItself(level)
317a25b1bceSLinJiawei}
318a25b1bceSLinJiawei
31954c6d89dSxiaofeibao-xjtuobject Redirect extends HasCircularQueuePtrHelper {
32054c6d89dSxiaofeibao-xjtu
32154c6d89dSxiaofeibao-xjtu  def selectOldestRedirect(xs: Seq[Valid[Redirect]]): Vec[Bool] = {
32254c6d89dSxiaofeibao-xjtu    val compareVec = (0 until xs.length).map(i => (0 until i).map(j => isAfter(xs(j).bits.robIdx, xs(i).bits.robIdx)))
32354c6d89dSxiaofeibao-xjtu    val resultOnehot = VecInit((0 until xs.length).map(i => Cat((0 until xs.length).map(j =>
32454c6d89dSxiaofeibao-xjtu      (if (j < i) !xs(j).valid || compareVec(i)(j)
32554c6d89dSxiaofeibao-xjtu      else if (j == i) xs(i).valid
32654c6d89dSxiaofeibao-xjtu      else !xs(j).valid || !compareVec(j)(i))
32754c6d89dSxiaofeibao-xjtu    )).andR))
32854c6d89dSxiaofeibao-xjtu    resultOnehot
32954c6d89dSxiaofeibao-xjtu  }
33054c6d89dSxiaofeibao-xjtu}
33154c6d89dSxiaofeibao-xjtu
3322b4e8253SYinan Xuclass ResetPregStateReq(implicit p: Parameters) extends XSBundle {
33360deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
33460deaca2SLinJiawei  val isInt = Bool()
33560deaca2SLinJiawei  val isFp = Bool()
33660f0c5aeSxiaofeibao  val isVec = Bool()
33729aa55c1Sxiaofeibao  val isV0 = Bool()
33829aa55c1Sxiaofeibao  val isVl = Bool()
33960deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
3405844fcf0SLinJiawei}
3415844fcf0SLinJiawei
3422225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle {
34372235fa4SWilliam Wang  val isMMIO = Bool()
3448635f18fSwangkaifan  val isPerfCnt = Bool()
3458b91a337SWilliam Wang  val paddr = UInt(PAddrBits.W)
34672951335SLi Qianruo  val vaddr = UInt(VAddrBits.W)
3478744445eSMaxpicca-Li  /* add L/S inst info in EXU */
3488744445eSMaxpicca-Li  // val L1toL2TlbLatency = UInt(XLEN.W)
3498744445eSMaxpicca-Li  // val levelTlbHit = UInt(2.W)
350e402d94eSWilliam Wang}
3515844fcf0SLinJiawei
352*ac17908cSHuijin Liclass SoftIfetchPrefetchBundle(implicit p: Parameters) extends XSBundle {
353*ac17908cSHuijin Li  val vaddr = UInt(VAddrBits.W)
354*ac17908cSHuijin Li}
355*ac17908cSHuijin Li
3562225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle {
35735bfeecbSYinan Xu  val mtip = Input(Bool())
35835bfeecbSYinan Xu  val msip = Input(Bool())
35935bfeecbSYinan Xu  val meip = Input(Bool())
360b3d79b37SYinan Xu  val seip = Input(Bool())
361d4aca96cSlqre  val debug = Input(Bool())
3625844fcf0SLinJiawei}
3635844fcf0SLinJiawei
3642225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle {
3653b739f49SXuan Hu  val exception = Flipped(ValidIO(new DynInst))
3663fa7b737SYinan Xu  val isInterrupt = Input(Bool())
36735bfeecbSYinan Xu  val memExceptionVAddr = Input(UInt(VAddrBits.W))
36835bfeecbSYinan Xu  val trapTarget = Output(UInt(VAddrBits.W))
36935bfeecbSYinan Xu  val externalInterrupt = new ExternalInterruptIO
37035bfeecbSYinan Xu  val interrupt = Output(Bool())
37135bfeecbSYinan Xu}
37235bfeecbSYinan Xu
373a8db15d8Sfdyclass DiffCommitIO(implicit p: Parameters) extends XSBundle {
374a8db15d8Sfdy  val isCommit = Bool()
375a8db15d8Sfdy  val commitValid = Vec(CommitWidth * MaxUopSize, Bool())
376a8db15d8Sfdy
3776b102a39SHaojin Tang  val info = Vec(CommitWidth * MaxUopSize, new RabCommitInfo)
378a8db15d8Sfdy}
379a8db15d8Sfdy
380780712aaSxiaofeibao-xjtuclass RobCommitInfo(implicit p: Parameters) extends RobCommitEntryBundle
3815844fcf0SLinJiawei
3829aca92b9SYinan Xuclass RobCommitIO(implicit p: Parameters) extends XSBundle {
383ccfddc82SHaojin Tang  val isCommit = Bool()
384ccfddc82SHaojin Tang  val commitValid = Vec(CommitWidth, Bool())
3856474c47fSYinan Xu
386ccfddc82SHaojin Tang  val isWalk = Bool()
387c51eab43SYinan Xu  // valid bits optimized for walk
388ccfddc82SHaojin Tang  val walkValid = Vec(CommitWidth, Bool())
3896474c47fSYinan Xu
390ccfddc82SHaojin Tang  val info = Vec(CommitWidth, new RobCommitInfo)
391fa7f2c26STang Haojin  val robIdx = Vec(CommitWidth, new RobPtr)
39221e7a6c5SYinan Xu
3936474c47fSYinan Xu  def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR
3946474c47fSYinan Xu  def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR
3955844fcf0SLinJiawei}
3965844fcf0SLinJiawei
3976b102a39SHaojin Tangclass RabCommitInfo(implicit p: Parameters) extends XSBundle {
398ad5c9e6eSJunxiong Ji  val ldest = UInt(LogicRegsWidth.W)
3996b102a39SHaojin Tang  val pdest = UInt(PhyRegIdxWidth.W)
4006b102a39SHaojin Tang  val rfWen = Bool()
4016b102a39SHaojin Tang  val fpWen = Bool()
4026b102a39SHaojin Tang  val vecWen = Bool()
403368cbcecSxiaofeibao  val v0Wen = Bool()
404368cbcecSxiaofeibao  val vlWen = Bool()
4056b102a39SHaojin Tang  val isMove = Bool()
4066b102a39SHaojin Tang}
4076b102a39SHaojin Tang
4086b102a39SHaojin Tangclass RabCommitIO(implicit p: Parameters) extends XSBundle {
4096b102a39SHaojin Tang  val isCommit = Bool()
410780712aaSxiaofeibao-xjtu  val commitValid = Vec(RabCommitWidth, Bool())
4116b102a39SHaojin Tang
4126b102a39SHaojin Tang  val isWalk = Bool()
4136b102a39SHaojin Tang  // valid bits optimized for walk
414780712aaSxiaofeibao-xjtu  val walkValid = Vec(RabCommitWidth, Bool())
4156b102a39SHaojin Tang
416780712aaSxiaofeibao-xjtu  val info = Vec(RabCommitWidth, new RabCommitInfo)
417780712aaSxiaofeibao-xjtu  val robIdx = OptionWrapper(!env.FPGAPlatform, Vec(RabCommitWidth, new RobPtr))
4186b102a39SHaojin Tang
4196b102a39SHaojin Tang  def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR
4206b102a39SHaojin Tang  def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR
4216b102a39SHaojin Tang}
4226b102a39SHaojin Tang
423fa7f2c26STang Haojinclass SnapshotPort(implicit p: Parameters) extends XSBundle {
424fa7f2c26STang Haojin  val snptEnq = Bool()
425fa7f2c26STang Haojin  val snptDeq = Bool()
426fa7f2c26STang Haojin  val useSnpt = Bool()
427fa7f2c26STang Haojin  val snptSelect = UInt(log2Ceil(RenameSnapshotNum).W)
428c4b56310SHaojin Tang  val flushVec = Vec(RenameSnapshotNum, Bool())
429fa7f2c26STang Haojin}
430fa7f2c26STang Haojin
431fd490615Sweiding liuclass RSFeedback(isVector: Boolean = false)(implicit p: Parameters) extends XSBundle {
4325db4956bSzhanglyGit  val robIdx = new RobPtr
433037a131fSWilliam Wang  val hit = Bool()
43462f57a35SLemover  val flushState = Bool()
4351b7adedcSWilliam Wang  val sourceType = RSFeedbackType()
436c7160cd3SWilliam Wang  val dataInvalidSqIdx = new SqPtr
43738f78b5dSxiaofeibao-xjtu  val sqIdx = new SqPtr
43828ac1c16Sxiaofeibao-xjtu  val lqIdx = new LqPtr
439037a131fSWilliam Wang}
440037a131fSWilliam Wang
441fd490615Sweiding liuclass MemRSFeedbackIO(isVector: Boolean = false)(implicit p: Parameters) extends XSBundle {
442d87b76aaSWilliam Wang  // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO
443d87b76aaSWilliam Wang  // for instance: MemRSFeedbackIO()(updateP)
444fd490615Sweiding liu  val feedbackSlow = ValidIO(new RSFeedback(isVector)) // dcache miss queue full, dtlb miss
445fd490615Sweiding liu  val feedbackFast = ValidIO(new RSFeedback(isVector)) // bank conflict
446d87b76aaSWilliam Wang}
447d87b76aaSWilliam Wang
4480f55a0d3SHaojin Tangclass LoadCancelIO(implicit p: Parameters) extends XSBundle {
449596af5d2SHaojin Tang  val ld1Cancel = Bool()
450596af5d2SHaojin Tang  val ld2Cancel = Bool()
4510f55a0d3SHaojin Tang}
4520f55a0d3SHaojin Tang
453f06ca0bfSLingrui98class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle {
4545844fcf0SLinJiawei  // to backend end
4555844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
456d2b20d1aSTang Haojin  val stallReason = new StallReasonIO(DecodeWidth)
457f06ca0bfSLingrui98  val fromFtq = new FtqToCtrlIO
458d7ac23a3SEaston Man  val fromIfu = new IfuToBackendIO
4595844fcf0SLinJiawei  // from backend
460f06ca0bfSLingrui98  val toFtq = Flipped(new CtrlToFtqIO)
46105cc2a4eSXuan Hu  val canAccept = Input(Bool())
4621e3fad10SLinJiawei}
463fcff7e94SZhangZifei
464f1fe8698SLemoverclass SatpStruct(implicit p: Parameters) extends XSBundle {
46545f497a4Shappy-lx  val mode = UInt(4.W)
46645f497a4Shappy-lx  val asid = UInt(16.W)
46745f497a4Shappy-lx  val ppn  = UInt(44.W)
46845f497a4Shappy-lx}
46945f497a4Shappy-lx
470f1fe8698SLemoverclass TlbSatpBundle(implicit p: Parameters) extends SatpStruct {
47145f497a4Shappy-lx  val changed = Bool()
47245f497a4Shappy-lx
4739a4a4f17SXuan Hu  // Todo: remove it
47445f497a4Shappy-lx  def apply(satp_value: UInt): Unit = {
47545f497a4Shappy-lx    require(satp_value.getWidth == XLEN)
47645f497a4Shappy-lx    val sa = satp_value.asTypeOf(new SatpStruct)
47745f497a4Shappy-lx    mode := sa.mode
47845f497a4Shappy-lx    asid := sa.asid
479935edac4STang Haojin    ppn := Cat(0.U((44-PAddrBits).W), sa.ppn(PAddrBits-1, 0)).asUInt
48045f497a4Shappy-lx    changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush
48145f497a4Shappy-lx  }
482fcff7e94SZhangZifei}
483f1fe8698SLemover
484f1fe8698SLemoverclass TlbCsrBundle(implicit p: Parameters) extends XSBundle {
485f1fe8698SLemover  val satp = new TlbSatpBundle()
486d0de7e4aSpeixiaokun  val vsatp = new TlbSatpBundle()
487d0de7e4aSpeixiaokun  val hgatp = new TlbSatpBundle()
488fcff7e94SZhangZifei  val priv = new Bundle {
489fcff7e94SZhangZifei    val mxr = Bool()
490fcff7e94SZhangZifei    val sum = Bool()
491d0de7e4aSpeixiaokun    val vmxr = Bool()
492d0de7e4aSpeixiaokun    val vsum = Bool()
493d0de7e4aSpeixiaokun    val virt = Bool()
494d0de7e4aSpeixiaokun    val spvp = UInt(1.W)
495fcff7e94SZhangZifei    val imode = UInt(2.W)
496fcff7e94SZhangZifei    val dmode = UInt(2.W)
497fcff7e94SZhangZifei  }
4988fc4e859SZhangZifei
4998fc4e859SZhangZifei  override def toPrintable: Printable = {
5008fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
5018fc4e859SZhangZifei      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
5028fc4e859SZhangZifei  }
503fcff7e94SZhangZifei}
504fcff7e94SZhangZifei
5052225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle {
506fcff7e94SZhangZifei  val valid = Bool()
507fcff7e94SZhangZifei  val bits = new Bundle {
508fcff7e94SZhangZifei    val rs1 = Bool()
509fcff7e94SZhangZifei    val rs2 = Bool()
510fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
511d0de7e4aSpeixiaokun    val id = UInt((AsidLength).W) // asid or vmid
512f1fe8698SLemover    val flushPipe = Bool()
513d0de7e4aSpeixiaokun    val hv = Bool()
514d0de7e4aSpeixiaokun    val hg = Bool()
515fcff7e94SZhangZifei  }
5168fc4e859SZhangZifei
5178fc4e859SZhangZifei  override def toPrintable: Printable = {
518f1fe8698SLemover    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}"
5198fc4e859SZhangZifei  }
520fcff7e94SZhangZifei}
521a165bd69Swangkaifan
522de169c67SWilliam Wang// Bundle for load violation predictor updating
523de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle  {
5242b8b2e7aSWilliam Wang  val valid = Bool()
525de169c67SWilliam Wang
526de169c67SWilliam Wang  // wait table update
527de169c67SWilliam Wang  val waddr = UInt(MemPredPCWidth.W)
5282b8b2e7aSWilliam Wang  val wdata = Bool() // true.B by default
529de169c67SWilliam Wang
530de169c67SWilliam Wang  // store set update
531de169c67SWilliam Wang  // by default, ldpc/stpc should be xor folded
532de169c67SWilliam Wang  val ldpc = UInt(MemPredPCWidth.W)
533de169c67SWilliam Wang  val stpc = UInt(MemPredPCWidth.W)
5342b8b2e7aSWilliam Wang}
5352b8b2e7aSWilliam Wang
5362225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle {
5372b8b2e7aSWilliam Wang  // Prefetcher
538ecccf78fSJay  val l1I_pf_enable = Output(Bool())
5392b8b2e7aSWilliam Wang  val l2_pf_enable = Output(Bool())
54085de5caeSLinJiawei  val l1D_pf_enable = Output(Bool())
54185de5caeSLinJiawei  val l1D_pf_train_on_hit = Output(Bool())
54285de5caeSLinJiawei  val l1D_pf_enable_agt = Output(Bool())
54385de5caeSLinJiawei  val l1D_pf_enable_pht = Output(Bool())
5445d13017eSLinJiawei  val l1D_pf_active_threshold = Output(UInt(4.W))
5455d13017eSLinJiawei  val l1D_pf_active_stride = Output(UInt(6.W))
546edbf1204SLinJiawei  val l1D_pf_enable_stride = Output(Bool())
547f1d78cf7SLinJiawei  val l2_pf_store_only = Output(Bool())
548ecccf78fSJay  // ICache
549ecccf78fSJay  val icache_parity_enable = Output(Bool())
550f3f22d72SYinan Xu  // Load violation predictor
5512b8b2e7aSWilliam Wang  val lvpred_disable = Output(Bool())
5522b8b2e7aSWilliam Wang  val no_spec_load = Output(Bool())
553c7160cd3SWilliam Wang  val storeset_wait_store = Output(Bool())
554c7160cd3SWilliam Wang  val storeset_no_fast_wakeup = Output(Bool())
555c7160cd3SWilliam Wang  val lvpred_timeout = Output(UInt(5.W))
556f3f22d72SYinan Xu  // Branch predictor
5572b8b2e7aSWilliam Wang  val bp_ctrl = Output(new BPUCtrl)
558f3f22d72SYinan Xu  // Memory Block
559f3f22d72SYinan Xu  val sbuffer_threshold = Output(UInt(4.W))
560d10a581eSWilliam Wang  val ldld_vio_check_enable = Output(Bool())
561d10a581eSWilliam Wang  val soft_prefetch_enable = Output(Bool())
562a4e57ea3SLi Qianruo  val cache_error_enable = Output(Bool())
56337225120Ssfencevma  val uncache_write_outstanding_enable = Output(Bool())
564aac4464eSYinan Xu  // Rename
5655b47c58cSYinan Xu  val fusion_enable = Output(Bool())
5665b47c58cSYinan Xu  val wfi_enable = Output(Bool())
567af2f7849Shappy-lx
568b6982e83SLemover  // distribute csr write signal
569b6982e83SLemover  val distribute_csr = new DistributedCSRIO()
5705b0f0029SXuan Hu  // TODO: move it to a new bundle, since single step is not a custom control signal
571ddb65c47SLi Qianruo  val singlestep = Output(Bool())
57272951335SLi Qianruo  val frontend_trigger = new FrontendTdataDistributeIO()
57372951335SLi Qianruo  val mem_trigger = new MemTdataDistributeIO()
574d0de7e4aSpeixiaokun  // Virtualization Mode
575d0de7e4aSpeixiaokun  val virtMode = Output(Bool())
576b6982e83SLemover}
577b6982e83SLemover
578b6982e83SLemoverclass DistributedCSRIO(implicit p: Parameters) extends XSBundle {
5791c746d3aScui fliter  // CSR has been written by csr inst, copies of csr should be updated
580b6982e83SLemover  val w = ValidIO(new Bundle {
581b6982e83SLemover    val addr = Output(UInt(12.W))
582b6982e83SLemover    val data = Output(UInt(XLEN.W))
583b6982e83SLemover  })
5842b8b2e7aSWilliam Wang}
585e19f7967SWilliam Wang
586e19f7967SWilliam Wangclass DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle {
587e19f7967SWilliam Wang  // Request csr to be updated
588e19f7967SWilliam Wang  //
589e19f7967SWilliam Wang  // Note that this request will ONLY update CSR Module it self,
590e19f7967SWilliam Wang  // copies of csr will NOT be updated, use it with care!
591e19f7967SWilliam Wang  //
592e19f7967SWilliam Wang  // For each cycle, no more than 1 DistributedCSRUpdateReq is valid
593e19f7967SWilliam Wang  val w = ValidIO(new Bundle {
594e19f7967SWilliam Wang    val addr = Output(UInt(12.W))
595e19f7967SWilliam Wang    val data = Output(UInt(XLEN.W))
596e19f7967SWilliam Wang  })
597e19f7967SWilliam Wang  def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = {
598e19f7967SWilliam Wang    when(valid){
599e19f7967SWilliam Wang      w.bits.addr := addr
600e19f7967SWilliam Wang      w.bits.data := data
601e19f7967SWilliam Wang    }
602e19f7967SWilliam Wang    println("Distributed CSR update req registered for " + src_description)
603e19f7967SWilliam Wang  }
604e19f7967SWilliam Wang}
60572951335SLi Qianruo
6060f59c834SWilliam Wangclass L1CacheErrorInfo(implicit p: Parameters) extends XSBundle {
6070f59c834SWilliam Wang  // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR
6080f59c834SWilliam Wang  val source = Output(new Bundle() {
6090f59c834SWilliam Wang    val tag = Bool() // l1 tag array
6100f59c834SWilliam Wang    val data = Bool() // l1 data array
6110f59c834SWilliam Wang    val l2 = Bool()
6120f59c834SWilliam Wang  })
6130f59c834SWilliam Wang  val opType = Output(new Bundle() {
6140f59c834SWilliam Wang    val fetch = Bool()
6150f59c834SWilliam Wang    val load = Bool()
6160f59c834SWilliam Wang    val store = Bool()
6170f59c834SWilliam Wang    val probe = Bool()
6180f59c834SWilliam Wang    val release = Bool()
6190f59c834SWilliam Wang    val atom = Bool()
6200f59c834SWilliam Wang  })
6210f59c834SWilliam Wang  val paddr = Output(UInt(PAddrBits.W))
6220f59c834SWilliam Wang
6230f59c834SWilliam Wang  // report error and paddr to beu
6240f59c834SWilliam Wang  // bus error unit will receive error info iff ecc_error.valid
6250f59c834SWilliam Wang  val report_to_beu = Output(Bool())
6260f59c834SWilliam Wang
6270184a80eSYanqin Li  def toL1BusErrorUnitInfo(valid: Bool): L1BusErrorUnitInfo = {
6280f59c834SWilliam Wang    val beu_info = Wire(new L1BusErrorUnitInfo)
629cd467f7cSxu_zh    beu_info.ecc_error.valid := valid && report_to_beu
6300f59c834SWilliam Wang    beu_info.ecc_error.bits := paddr
6310f59c834SWilliam Wang    beu_info
6320f59c834SWilliam Wang  }
6330f59c834SWilliam Wang}
634bc63e578SLi Qianruo
63572951335SLi Qianruoclass TriggerCf(implicit p: Parameters) extends XSBundle {
63684e47f35SLi Qianruo  // frontend
637f7af4c74Schengguanghui  val frontendHit       = Vec(TriggerNum, Bool()) // en && hit
638f7af4c74Schengguanghui  val frontendCanFire   = Vec(TriggerNum, Bool())
63984e47f35SLi Qianruo  // backend
640f7af4c74Schengguanghui  val backendHit        = Vec(TriggerNum, Bool())
641f7af4c74Schengguanghui  val backendCanFire    = Vec(TriggerNum, Bool())
64284e47f35SLi Qianruo
64384e47f35SLi Qianruo  // Two situations not allowed:
64484e47f35SLi Qianruo  // 1. load data comparison
64584e47f35SLi Qianruo  // 2. store chaining with store
646f7af4c74Schengguanghui  def getFrontendCanFire = frontendCanFire.reduce(_ || _)
647f7af4c74Schengguanghui  def getBackendCanFire = backendCanFire.reduce(_ || _)
648f7af4c74Schengguanghui  def canFire = getFrontendCanFire || getBackendCanFire
649d7dd1af1SLi Qianruo  def clear(): Unit = {
650d7dd1af1SLi Qianruo    frontendHit.foreach(_ := false.B)
651f7af4c74Schengguanghui    frontendCanFire.foreach(_ := false.B)
652d7dd1af1SLi Qianruo    backendHit.foreach(_ := false.B)
653f7af4c74Schengguanghui    backendCanFire.foreach(_ := false.B)
654d7dd1af1SLi Qianruo  }
65572951335SLi Qianruo}
65672951335SLi Qianruo
657bc63e578SLi Qianruo// these 3 bundles help distribute trigger control signals from CSR
658bc63e578SLi Qianruo// to Frontend, Load and Store.
65972951335SLi Qianruoclass FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle {
660f7af4c74Schengguanghui  val tUpdate = ValidIO(new Bundle {
661f7af4c74Schengguanghui    val addr = Output(UInt(log2Up(TriggerNum).W))
66272951335SLi Qianruo    val tdata = new MatchTriggerIO
66372951335SLi Qianruo  })
664f7af4c74Schengguanghui  val tEnableVec: Vec[Bool] = Output(Vec(TriggerNum, Bool()))
66572951335SLi Qianruo}
66672951335SLi Qianruo
66772951335SLi Qianruoclass MemTdataDistributeIO(implicit p: Parameters) extends XSBundle {
668f7af4c74Schengguanghui  val tUpdate = ValidIO(new Bundle {
669f7af4c74Schengguanghui    val addr = Output(UInt(log2Up(TriggerNum).W))
67072951335SLi Qianruo    val tdata = new MatchTriggerIO
67172951335SLi Qianruo  })
672f7af4c74Schengguanghui  val tEnableVec: Vec[Bool] = Output(Vec(TriggerNum, Bool()))
67304b415dbSchengguanghui  val triggerCanRaiseBpExp  = Output(Bool())
67472951335SLi Qianruo}
67572951335SLi Qianruo
67672951335SLi Qianruoclass MatchTriggerIO(implicit p: Parameters) extends XSBundle {
67772951335SLi Qianruo  val matchType = Output(UInt(2.W))
678a7a6d0a6Schengguanghui  val select    = Output(Bool()) // todo: delete
67972951335SLi Qianruo  val timing    = Output(Bool())
680a7a6d0a6Schengguanghui  val action    = Output(Bool()) // todo: delete
68172951335SLi Qianruo  val chain     = Output(Bool())
682a7a6d0a6Schengguanghui  val execute   = Output(Bool()) // todo: delete
683f7af4c74Schengguanghui  val store     = Output(Bool())
684f7af4c74Schengguanghui  val load      = Output(Bool())
68572951335SLi Qianruo  val tdata2    = Output(UInt(64.W))
686a7a6d0a6Schengguanghui
687a7a6d0a6Schengguanghui  def GenTdataDistribute(tdata1: Tdata1Bundle, tdata2: Tdata2Bundle): MatchTriggerIO = {
688a7a6d0a6Schengguanghui    val mcontrol = Wire(new Mcontrol)
689a7a6d0a6Schengguanghui    mcontrol := tdata1.DATA.asUInt
690a7a6d0a6Schengguanghui    this.matchType := mcontrol.MATCH.asUInt
691a7a6d0a6Schengguanghui    this.select    := mcontrol.SELECT.asBool
692a7a6d0a6Schengguanghui    this.timing    := mcontrol.TIMING.asBool
693a7a6d0a6Schengguanghui    this.action    := mcontrol.ACTION.asUInt
694a7a6d0a6Schengguanghui    this.chain     := mcontrol.CHAIN.asBool
695a7a6d0a6Schengguanghui    this.execute   := mcontrol.EXECUTE.asBool
696a7a6d0a6Schengguanghui    this.load      := mcontrol.LOAD.asBool
697a7a6d0a6Schengguanghui    this.store     := mcontrol.STORE.asBool
698a7a6d0a6Schengguanghui    this.tdata2    := tdata2.asUInt
699a7a6d0a6Schengguanghui    this
700a7a6d0a6Schengguanghui  }
70172951335SLi Qianruo}
702b9e121dfShappy-lx
703d2b20d1aSTang Haojinclass StallReasonIO(width: Int) extends Bundle {
704d2b20d1aSTang Haojin  val reason = Output(Vec(width, UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W)))
705d2b20d1aSTang Haojin  val backReason = Flipped(Valid(UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W)))
706d2b20d1aSTang Haojin}
707d2b20d1aSTang Haojin
708b9e121dfShappy-lx// custom l2 - l1 interface
709b9e121dfShappy-lxclass L2ToL1Hint(implicit p: Parameters) extends XSBundle with HasDCacheParameters {
710b9e121dfShappy-lx  val sourceId = UInt(log2Up(cfg.nMissEntries).W)    // tilelink sourceID -> mshr id
711d2945707SHuijin Li  val isKeyword = Bool()                             // miss entry keyword -> L1 load queue replay
712b9e121dfShappy-lx}
713f7af4c74Schengguanghui
714