1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 171e3fad10SLinJiaweipackage xiangshan 181e3fad10SLinJiawei 193b739f49SXuan Huimport chipsalliance.rocketchip.config.Parameters 201e3fad10SLinJiaweiimport chisel3._ 213b739f49SXuan Huimport chisel3.util.BitPat.bitPatToUInt 225844fcf0SLinJiaweiimport chisel3.util._ 233b739f49SXuan Huimport utility._ 243b739f49SXuan Huimport utils._ 25730cfbc0SXuan Huimport xiangshan.backend.ctrlblock.CtrlToFtqIO 26de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode} 27730cfbc0SXuan Huimport xiangshan.backend.fu.FuType 283b739f49SXuan Huimport xiangshan.backend.rob.RobPtr 293b739f49SXuan Huimport xiangshan.frontend._ 305c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr} 31730cfbc0SXuan Huimport xiangshan.backend.Bundles.DynInst 32b52d4755SXuan Huimport xiangshan.backend.fu.vector.Bundles.VType 331e3fad10SLinJiawei 34627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle { 353803411bSzhanglinjuan val valid = Bool() 3635fe60e8SLingrui98 val bits = gen.cloneType.asInstanceOf[T] 37fe211d16SLinJiawei 383803411bSzhanglinjuan} 393803411bSzhanglinjuan 40627c0a19Szhanglinjuanobject ValidUndirectioned { 41627c0a19Szhanglinjuan def apply[T <: Data](gen: T) = { 42627c0a19Szhanglinjuan new ValidUndirectioned[T](gen) 433803411bSzhanglinjuan } 443803411bSzhanglinjuan} 453803411bSzhanglinjuan 461b7adedcSWilliam Wangobject RSFeedbackType { 47cee61068Sfdy val tlbMiss = 0.U(4.W) 48cee61068Sfdy val mshrFull = 1.U(4.W) 49cee61068Sfdy val dataInvalid = 2.U(4.W) 50cee61068Sfdy val bankConflict = 3.U(4.W) 51cee61068Sfdy val ldVioCheckRedo = 4.U(4.W) 52cee61068Sfdy val feedbackInvalid = 7.U(4.W) 53cee61068Sfdy val issueSuccess = 8.U(4.W) 54ea0f92d8Sczw val rfArbitFail = 9.U(4.W) 55ea0f92d8Sczw val fuIdle = 10.U(4.W) 56ea0f92d8Sczw val fuBusy = 11.U(4.W) 57eb163ef0SHaojin Tang 58cee61068Sfdy def apply() = UInt(4.W) 5961d88ec2SXuan Hu 6061d88ec2SXuan Hu def isStageSuccess(feedbackType: UInt) = { 61cee61068Sfdy feedbackType === issueSuccess 6261d88ec2SXuan Hu } 63965c972cSXuan Hu 64965c972cSXuan Hu def isBlocked(feedbackType: UInt) = { 65ea0f92d8Sczw feedbackType === rfArbitFail || feedbackType === fuBusy || feedbackType === feedbackInvalid 66965c972cSXuan Hu } 671b7adedcSWilliam Wang} 681b7adedcSWilliam Wang 692225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle { 70097c2688SLingrui98 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 71097c2688SLingrui98 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 72097c2688SLingrui98 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 7351b2a476Szoujr} 7451b2a476Szoujr 752225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 76f226232fSzhanglinjuan // from backend 7769cafcc9SLingrui98 val pc = UInt(VAddrBits.W) 78f226232fSzhanglinjuan // frontend -> backend -> frontend 79f226232fSzhanglinjuan val pd = new PreDecodeInfo 808a5e9243SLinJiawei val rasSp = UInt(log2Up(RasSize).W) 812e947747SLinJiawei val rasEntry = new RASEntry 82c2ad24ebSLingrui98 // val hist = new ShiftingGlobalHistory 83dd6c0695SLingrui98 val folded_hist = new AllFoldedHistories(foldedGHistInfos) 8467402d75SLingrui98 val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos) 8567402d75SLingrui98 val lastBrNumOH = UInt((numBr+1).W) 86b37e4b45SLingrui98 val ghr = UInt(UbtbGHRLength.W) 87c2ad24ebSLingrui98 val histPtr = new CGHPtr 88e7b046c5Szoujr val specCnt = Vec(numBr, UInt(10.W)) 89fe3a74fcSYinan Xu // need pipeline update 908a597714Szoujr val br_hit = Bool() 912e947747SLinJiawei val predTaken = Bool() 92b2e6921eSLinJiawei val target = UInt(VAddrBits.W) 939a2e6b8aSLinJiawei val taken = Bool() 94b2e6921eSLinJiawei val isMisPred = Bool() 95d0527adfSzoujr val shift = UInt((log2Ceil(numBr)+1).W) 96d0527adfSzoujr val addIntoHist = Bool() 9714a6653fSLingrui98 9814a6653fSLingrui98 def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = { 99c2ad24ebSLingrui98 // this.hist := entry.ghist 100dd6c0695SLingrui98 this.folded_hist := entry.folded_hist 10167402d75SLingrui98 this.lastBrNumOH := entry.lastBrNumOH 10267402d75SLingrui98 this.afhob := entry.afhob 103c2ad24ebSLingrui98 this.histPtr := entry.histPtr 10414a6653fSLingrui98 this.rasSp := entry.rasSp 105c2d1ec7dSLingrui98 this.rasEntry := entry.rasTop 10614a6653fSLingrui98 this 10714a6653fSLingrui98 } 108b2e6921eSLinJiawei} 109b2e6921eSLinJiawei 1105844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer 111de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle { 1125844fcf0SLinJiawei val instr = UInt(32.W) 1135844fcf0SLinJiawei val pc = UInt(VAddrBits.W) 114de169c67SWilliam Wang val foldpc = UInt(MemPredPCWidth.W) 115baf8def6SYinan Xu val exceptionVec = ExceptionVec() 11672951335SLi Qianruo val trigger = new TriggerCf 117faf3cfa9SLinJiawei val pd = new PreDecodeInfo 118cde9280dSLinJiawei val pred_taken = Bool() 119c84054caSLinJiawei val crossPageIPFFix = Bool() 120de169c67SWilliam Wang val storeSetHit = Bool() // inst has been allocated an store set 121980c1bc3SWilliam Wang val waitForRobIdx = new RobPtr // store set predicted previous store robIdx 122d1fe0262SWilliam Wang // Load wait is needed 123d1fe0262SWilliam Wang // load inst will not be executed until former store (predicted by mdp) addr calcuated 124d1fe0262SWilliam Wang val loadWaitBit = Bool() 125d1fe0262SWilliam Wang // If (loadWaitBit && loadWaitStrict), strict load wait is needed 126d1fe0262SWilliam Wang // load inst will not be executed until ALL former store addr calcuated 127d1fe0262SWilliam Wang val loadWaitStrict = Bool() 128de169c67SWilliam Wang val ssid = UInt(SSIDWidth.W) 129884dbb3bSLinJiawei val ftqPtr = new FtqPtr 130884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 1315844fcf0SLinJiawei} 1325844fcf0SLinJiawei 13372951335SLi Qianruo 1342225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 1352ce29ed6SLinJiawei val isAddSub = Bool() // swap23 136dc597826SJiawei Lin val typeTagIn = UInt(1.W) 137dc597826SJiawei Lin val typeTagOut = UInt(1.W) 1382ce29ed6SLinJiawei val fromInt = Bool() 1392ce29ed6SLinJiawei val wflags = Bool() 1402ce29ed6SLinJiawei val fpWen = Bool() 1412ce29ed6SLinJiawei val fmaCmd = UInt(2.W) 1422ce29ed6SLinJiawei val div = Bool() 1432ce29ed6SLinJiawei val sqrt = Bool() 1442ce29ed6SLinJiawei val fcvt = Bool() 1452ce29ed6SLinJiawei val typ = UInt(2.W) 1462ce29ed6SLinJiawei val fmt = UInt(2.W) 1472ce29ed6SLinJiawei val ren3 = Bool() //TODO: remove SrcType.fp 148e6c6b64fSLinJiawei val rm = UInt(3.W) 149579b9f28SLinJiawei} 150579b9f28SLinJiawei 1515844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage 1522225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle { 1538744445eSMaxpicca-Li val debug_globalID = UInt(XLEN.W) 154a7a8a6ccSHaojin Tang val srcType = Vec(4, SrcType()) 155a7a8a6ccSHaojin Tang val lsrc = Vec(4, UInt(6.W)) 156a7a8a6ccSHaojin Tang val ldest = UInt(6.W) 1579a2e6b8aSLinJiawei val fuType = FuType() 1589a2e6b8aSLinJiawei val fuOpType = FuOpType() 1599a2e6b8aSLinJiawei val rfWen = Bool() 1609a2e6b8aSLinJiawei val fpWen = Bool() 161deb6421eSHaojin Tang val vecWen = Bool() 1629a2e6b8aSLinJiawei val isXSTrap = Bool() 1632d366136SLinJiawei val noSpecExec = Bool() // wait forward 1642d366136SLinJiawei val blockBackward = Bool() // block backward 16545a56a29SZhangZifei val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 166d91483a6Sfdy val uopDivType = UopDivType() 167c2a8ae00SYikeZhou val selImm = SelImm() 168b0ae3ac4SLinJiawei val imm = UInt(ImmUnion.maxLen.W) 169a3edac52SYinan Xu val commitType = CommitType() 170579b9f28SLinJiawei val fpu = new FPUCtrlSignals 1714aa9ed34Sfdy val uopIdx = UInt(5.W) 172aac4464eSYinan Xu val isMove = Bool() 173d4aca96cSlqre val singleStep = Bool() 174c88c3a2aSYinan Xu // This inst will flush all the pipe when it is the oldest inst in ROB, 175c88c3a2aSYinan Xu // then replay from this inst itself 176c88c3a2aSYinan Xu val replayInst = Bool() 177be25371aSYikeZhou 17857a10886SXuan Hu private def allSignals = srcType.take(3) ++ Seq(fuType, fuOpType, rfWen, fpWen, vecWen, 179d91483a6Sfdy isXSTrap, noSpecExec, blockBackward, flushPipe, uopDivType, selImm) 18088825c5cSYinan Xu 18188825c5cSYinan Xu def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = { 18257a10886SXuan Hu val decoder: Seq[UInt] = ListLookup( 18357a10886SXuan Hu inst, XDecode.decodeDefault.map(bitPatToUInt), 18457a10886SXuan Hu table.map{ case (pat, pats) => (pat, pats.map(bitPatToUInt)) }.toArray 18557a10886SXuan Hu ) 18688825c5cSYinan Xu allSignals zip decoder foreach { case (s, d) => s := d } 1874d24c305SYikeZhou commitType := DontCare 188be25371aSYikeZhou this 189be25371aSYikeZhou } 19088825c5cSYinan Xu 19188825c5cSYinan Xu def decode(bit: List[BitPat]): CtrlSignals = { 19288825c5cSYinan Xu allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d } 19388825c5cSYinan Xu this 19488825c5cSYinan Xu } 195b6900d94SYinan Xu 1963b739f49SXuan Hu def isWFI: Bool = fuType === FuType.csr.U && fuOpType === CSROpType.wfi 197f025d715SYinan Xu def isSoftPrefetch: Bool = { 1983b739f49SXuan Hu fuType === FuType.alu.U && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U 199f025d715SYinan Xu } 2005844fcf0SLinJiawei} 2015844fcf0SLinJiawei 2022225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle { 2035844fcf0SLinJiawei val cf = new CtrlFlow 2045844fcf0SLinJiawei val ctrl = new CtrlSignals 2055844fcf0SLinJiawei} 2065844fcf0SLinJiawei 2072225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle { 2088b8e745dSYikeZhou val eliminatedMove = Bool() 2098744445eSMaxpicca-Li // val fetchTime = UInt(XLEN.W) 210ebb8ebf8SYinan Xu val renameTime = UInt(XLEN.W) 211ebb8ebf8SYinan Xu val dispatchTime = UInt(XLEN.W) 212ebb8ebf8SYinan Xu val enqRsTime = UInt(XLEN.W) 213ebb8ebf8SYinan Xu val selectTime = UInt(XLEN.W) 214ebb8ebf8SYinan Xu val issueTime = UInt(XLEN.W) 215ebb8ebf8SYinan Xu val writebackTime = UInt(XLEN.W) 2168744445eSMaxpicca-Li // val commitTime = UInt(XLEN.W) 2178744445eSMaxpicca-Li val runahead_checkpoint_id = UInt(XLEN.W) 2188744445eSMaxpicca-Li val tlbFirstReqTime = UInt(XLEN.W) 2198744445eSMaxpicca-Li val tlbRespTime = UInt(XLEN.W) // when getting hit result (including delay in L2TLB hit) 220ba4100caSYinan Xu} 221ba4100caSYinan Xu 22248d1472eSWilliam Wang// Separate LSQ 2232225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle { 224915c0dd4SYinan Xu val lqIdx = new LqPtr 2255c1ae31bSYinan Xu val sqIdx = new SqPtr 22624726fbfSWilliam Wang} 22724726fbfSWilliam Wang 228b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage 2292225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl { 230a7a8a6ccSHaojin Tang val srcState = Vec(4, SrcState()) 231a7a8a6ccSHaojin Tang val psrc = Vec(4, UInt(PhyRegIdxWidth.W)) 23220e31bd1SYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 23320e31bd1SYinan Xu val old_pdest = UInt(PhyRegIdxWidth.W) 2349aca92b9SYinan Xu val robIdx = new RobPtr 235fe6452fcSYinan Xu val lqIdx = new LqPtr 236fe6452fcSYinan Xu val sqIdx = new SqPtr 2378b8e745dSYikeZhou val eliminatedMove = Bool() 2387cef916fSYinan Xu val debugInfo = new PerfDebugInfo 2399d4e1137SYinan Xu def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = { 240bcce877bSYinan Xu val stateReady = srcState(index) === SrcState.rdy || ignoreState.B 241bcce877bSYinan Xu val readReg = if (isFp) { 242bcce877bSYinan Xu ctrl.srcType(index) === SrcType.fp 243bcce877bSYinan Xu } else { 244bcce877bSYinan Xu ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U 245a338f247SYinan Xu } 246bcce877bSYinan Xu readReg && stateReady 247a338f247SYinan Xu } 2485c7674feSYinan Xu def srcIsReady: Vec[Bool] = { 249c9ebdf90SYinan Xu VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy }) 2505c7674feSYinan Xu } 2516ab6918fSYinan Xu def clearExceptions( 2526ab6918fSYinan Xu exceptionBits: Seq[Int] = Seq(), 2536ab6918fSYinan Xu flushPipe: Boolean = false, 2546ab6918fSYinan Xu replayInst: Boolean = false 2556ab6918fSYinan Xu ): MicroOp = { 2566ab6918fSYinan Xu cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B) 2576ab6918fSYinan Xu if (!flushPipe) { ctrl.flushPipe := false.B } 2586ab6918fSYinan Xu if (!replayInst) { ctrl.replayInst := false.B } 259c88c3a2aSYinan Xu this 260c88c3a2aSYinan Xu } 2615844fcf0SLinJiawei} 2625844fcf0SLinJiawei 2632225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle { 2649aca92b9SYinan Xu val robIdx = new RobPtr 26536d7aed5SLinJiawei val ftqIdx = new FtqPtr 26636d7aed5SLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 267bfb958a3SYinan Xu val level = RedirectLevel() 268bfb958a3SYinan Xu val interrupt = Bool() 269c778d2afSLinJiawei val cfiUpdate = new CfiUpdateInfo 270bfb958a3SYinan Xu 271de169c67SWilliam Wang val stFtqIdx = new FtqPtr // for load violation predict 272de169c67SWilliam Wang val stFtqOffset = UInt(log2Up(PredictWidth).W) 273fe211d16SLinJiawei 27420edb3f7SWilliam Wang val debug_runahead_checkpoint_id = UInt(64.W) 27520edb3f7SWilliam Wang 276bfb958a3SYinan Xu def flushItself() = RedirectLevel.flushItself(level) 277a25b1bceSLinJiawei} 278a25b1bceSLinJiawei 2792b4e8253SYinan Xuclass ResetPregStateReq(implicit p: Parameters) extends XSBundle { 28060deaca2SLinJiawei // NOTE: set isInt and isFp both to 'false' when invalid 28160deaca2SLinJiawei val isInt = Bool() 28260deaca2SLinJiawei val isFp = Bool() 28360deaca2SLinJiawei val preg = UInt(PhyRegIdxWidth.W) 2845844fcf0SLinJiawei} 2855844fcf0SLinJiawei 2862225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle { 28772235fa4SWilliam Wang val isMMIO = Bool() 2888635f18fSwangkaifan val isPerfCnt = Bool() 2898b91a337SWilliam Wang val paddr = UInt(PAddrBits.W) 29072951335SLi Qianruo val vaddr = UInt(VAddrBits.W) 2918744445eSMaxpicca-Li /* add L/S inst info in EXU */ 2928744445eSMaxpicca-Li // val L1toL2TlbLatency = UInt(XLEN.W) 2938744445eSMaxpicca-Li // val levelTlbHit = UInt(2.W) 294e402d94eSWilliam Wang} 2955844fcf0SLinJiawei 2962225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 29735bfeecbSYinan Xu val mtip = Input(Bool()) 29835bfeecbSYinan Xu val msip = Input(Bool()) 29935bfeecbSYinan Xu val meip = Input(Bool()) 300b3d79b37SYinan Xu val seip = Input(Bool()) 301d4aca96cSlqre val debug = Input(Bool()) 3025844fcf0SLinJiawei} 3035844fcf0SLinJiawei 3042225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle { 3053b739f49SXuan Hu val exception = Flipped(ValidIO(new DynInst)) 3063fa7b737SYinan Xu val isInterrupt = Input(Bool()) 30735bfeecbSYinan Xu val memExceptionVAddr = Input(UInt(VAddrBits.W)) 30835bfeecbSYinan Xu val trapTarget = Output(UInt(VAddrBits.W)) 30935bfeecbSYinan Xu val externalInterrupt = new ExternalInterruptIO 31035bfeecbSYinan Xu val interrupt = Output(Bool()) 31135bfeecbSYinan Xu} 31235bfeecbSYinan Xu 313*a8db15d8Sfdyclass RabCommitInfo(implicit p: Parameters) extends XSBundle { 314*a8db15d8Sfdy val ldest = UInt(6.W) 315*a8db15d8Sfdy val pdest = UInt(PhyRegIdxWidth.W) 316*a8db15d8Sfdy val old_pdest = UInt(PhyRegIdxWidth.W) 317*a8db15d8Sfdy val rfWen = Bool() 318*a8db15d8Sfdy val fpWen = Bool() 319*a8db15d8Sfdy val vecWen = Bool() 320*a8db15d8Sfdy val isMove = Bool() 321*a8db15d8Sfdy} 322*a8db15d8Sfdy 323*a8db15d8Sfdyclass RabCommitIO(implicit p: Parameters) extends XSBundle { 324*a8db15d8Sfdy val isCommit = Bool() 325*a8db15d8Sfdy val commitValid = Vec(CommitWidth, Bool()) 326*a8db15d8Sfdy val isWalk = Bool() 327*a8db15d8Sfdy val walkValid = Vec(CommitWidth, Bool()) 328*a8db15d8Sfdy val info = Vec(CommitWidth, new RabCommitInfo) 329*a8db15d8Sfdy} 330*a8db15d8Sfdy 331*a8db15d8Sfdyclass DiffCommitIO(implicit p: Parameters) extends XSBundle { 332*a8db15d8Sfdy val isCommit = Bool() 333*a8db15d8Sfdy val commitValid = Vec(CommitWidth * MaxUopSize, Bool()) 334*a8db15d8Sfdy 335*a8db15d8Sfdy val info = Vec(CommitWidth * MaxUopSize, new RobCommitInfo) 336*a8db15d8Sfdy} 337*a8db15d8Sfdy 3389aca92b9SYinan Xuclass RobCommitInfo(implicit p: Parameters) extends XSBundle { 339a7a8a6ccSHaojin Tang val ldest = UInt(6.W) 340fe6452fcSYinan Xu val rfWen = Bool() 341fe6452fcSYinan Xu val fpWen = Bool() 342deb6421eSHaojin Tang val vecWen = Bool() 343a1fd7de4SLinJiawei val wflags = Bool() 344fe6452fcSYinan Xu val commitType = CommitType() 345fe6452fcSYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 346fe6452fcSYinan Xu val old_pdest = UInt(PhyRegIdxWidth.W) 347884dbb3bSLinJiawei val ftqIdx = new FtqPtr 348884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 349ccfddc82SHaojin Tang val isMove = Bool() 350*a8db15d8Sfdy val isVset = Bool() 351*a8db15d8Sfdy val vtype = new VType 3525844fcf0SLinJiawei 3539ecac1e8SYinan Xu // these should be optimized for synthesis verilog 3549ecac1e8SYinan Xu val pc = UInt(VAddrBits.W) 355fe6452fcSYinan Xu} 3565844fcf0SLinJiawei 3579aca92b9SYinan Xuclass RobCommitIO(implicit p: Parameters) extends XSBundle { 358ccfddc82SHaojin Tang val isCommit = Bool() 359ccfddc82SHaojin Tang val commitValid = Vec(CommitWidth, Bool()) 3606474c47fSYinan Xu 361ccfddc82SHaojin Tang val isWalk = Bool() 362c51eab43SYinan Xu // valid bits optimized for walk 363ccfddc82SHaojin Tang val walkValid = Vec(CommitWidth, Bool()) 3646474c47fSYinan Xu 365ccfddc82SHaojin Tang val info = Vec(CommitWidth, new RobCommitInfo) 36621e7a6c5SYinan Xu 3676474c47fSYinan Xu def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR 3686474c47fSYinan Xu def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR 3695844fcf0SLinJiawei} 3705844fcf0SLinJiawei 3711b7adedcSWilliam Wangclass RSFeedback(implicit p: Parameters) extends XSBundle { 372730cfbc0SXuan Hu val rsIdx = UInt(log2Up(IQSizeMax).W) 373037a131fSWilliam Wang val hit = Bool() 37462f57a35SLemover val flushState = Bool() 3751b7adedcSWilliam Wang val sourceType = RSFeedbackType() 376c7160cd3SWilliam Wang val dataInvalidSqIdx = new SqPtr 377037a131fSWilliam Wang} 378037a131fSWilliam Wang 379d87b76aaSWilliam Wangclass MemRSFeedbackIO(implicit p: Parameters) extends XSBundle { 380d87b76aaSWilliam Wang // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO 381d87b76aaSWilliam Wang // for instance: MemRSFeedbackIO()(updateP) 382d87b76aaSWilliam Wang val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss 383d87b76aaSWilliam Wang val feedbackFast = ValidIO(new RSFeedback()) // bank conflict 384d87b76aaSWilliam Wang} 385d87b76aaSWilliam Wang 386f06ca0bfSLingrui98class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle { 3875844fcf0SLinJiawei // to backend end 3885844fcf0SLinJiawei val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 389f06ca0bfSLingrui98 val fromFtq = new FtqToCtrlIO 3905844fcf0SLinJiawei // from backend 391f06ca0bfSLingrui98 val toFtq = Flipped(new CtrlToFtqIO) 3921e3fad10SLinJiawei} 393fcff7e94SZhangZifei 394f1fe8698SLemoverclass SatpStruct(implicit p: Parameters) extends XSBundle { 39545f497a4Shappy-lx val mode = UInt(4.W) 39645f497a4Shappy-lx val asid = UInt(16.W) 39745f497a4Shappy-lx val ppn = UInt(44.W) 39845f497a4Shappy-lx} 39945f497a4Shappy-lx 400f1fe8698SLemoverclass TlbSatpBundle(implicit p: Parameters) extends SatpStruct { 40145f497a4Shappy-lx val changed = Bool() 40245f497a4Shappy-lx 40345f497a4Shappy-lx def apply(satp_value: UInt): Unit = { 40445f497a4Shappy-lx require(satp_value.getWidth == XLEN) 40545f497a4Shappy-lx val sa = satp_value.asTypeOf(new SatpStruct) 40645f497a4Shappy-lx mode := sa.mode 40745f497a4Shappy-lx asid := sa.asid 408f1fe8698SLemover ppn := Cat(0.U(44-PAddrBits), sa.ppn(PAddrBits-1, 0)).asUInt() 40945f497a4Shappy-lx changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush 41045f497a4Shappy-lx } 411fcff7e94SZhangZifei} 412f1fe8698SLemover 413f1fe8698SLemoverclass TlbCsrBundle(implicit p: Parameters) extends XSBundle { 414f1fe8698SLemover val satp = new TlbSatpBundle() 415fcff7e94SZhangZifei val priv = new Bundle { 416fcff7e94SZhangZifei val mxr = Bool() 417fcff7e94SZhangZifei val sum = Bool() 418fcff7e94SZhangZifei val imode = UInt(2.W) 419fcff7e94SZhangZifei val dmode = UInt(2.W) 420fcff7e94SZhangZifei } 4218fc4e859SZhangZifei 4228fc4e859SZhangZifei override def toPrintable: Printable = { 4238fc4e859SZhangZifei p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 4248fc4e859SZhangZifei p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 4258fc4e859SZhangZifei } 426fcff7e94SZhangZifei} 427fcff7e94SZhangZifei 4282225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle { 429fcff7e94SZhangZifei val valid = Bool() 430fcff7e94SZhangZifei val bits = new Bundle { 431fcff7e94SZhangZifei val rs1 = Bool() 432fcff7e94SZhangZifei val rs2 = Bool() 433fcff7e94SZhangZifei val addr = UInt(VAddrBits.W) 43445f497a4Shappy-lx val asid = UInt(AsidLength.W) 435f1fe8698SLemover val flushPipe = Bool() 436fcff7e94SZhangZifei } 4378fc4e859SZhangZifei 4388fc4e859SZhangZifei override def toPrintable: Printable = { 439f1fe8698SLemover p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}" 4408fc4e859SZhangZifei } 441fcff7e94SZhangZifei} 442a165bd69Swangkaifan 443de169c67SWilliam Wang// Bundle for load violation predictor updating 444de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 4452b8b2e7aSWilliam Wang val valid = Bool() 446de169c67SWilliam Wang 447de169c67SWilliam Wang // wait table update 448de169c67SWilliam Wang val waddr = UInt(MemPredPCWidth.W) 4492b8b2e7aSWilliam Wang val wdata = Bool() // true.B by default 450de169c67SWilliam Wang 451de169c67SWilliam Wang // store set update 452de169c67SWilliam Wang // by default, ldpc/stpc should be xor folded 453de169c67SWilliam Wang val ldpc = UInt(MemPredPCWidth.W) 454de169c67SWilliam Wang val stpc = UInt(MemPredPCWidth.W) 4552b8b2e7aSWilliam Wang} 4562b8b2e7aSWilliam Wang 4572225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 4582b8b2e7aSWilliam Wang // Prefetcher 459ecccf78fSJay val l1I_pf_enable = Output(Bool()) 4602b8b2e7aSWilliam Wang val l2_pf_enable = Output(Bool()) 46185de5caeSLinJiawei val l1D_pf_enable = Output(Bool()) 46285de5caeSLinJiawei val l1D_pf_train_on_hit = Output(Bool()) 46385de5caeSLinJiawei val l1D_pf_enable_agt = Output(Bool()) 46485de5caeSLinJiawei val l1D_pf_enable_pht = Output(Bool()) 4655d13017eSLinJiawei val l1D_pf_active_threshold = Output(UInt(4.W)) 4665d13017eSLinJiawei val l1D_pf_active_stride = Output(UInt(6.W)) 467edbf1204SLinJiawei val l1D_pf_enable_stride = Output(Bool()) 468f1d78cf7SLinJiawei val l2_pf_store_only = Output(Bool()) 469ecccf78fSJay // ICache 470ecccf78fSJay val icache_parity_enable = Output(Bool()) 471f3f22d72SYinan Xu // Labeled XiangShan 4722b8b2e7aSWilliam Wang val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter 473f3f22d72SYinan Xu // Load violation predictor 4742b8b2e7aSWilliam Wang val lvpred_disable = Output(Bool()) 4752b8b2e7aSWilliam Wang val no_spec_load = Output(Bool()) 476c7160cd3SWilliam Wang val storeset_wait_store = Output(Bool()) 477c7160cd3SWilliam Wang val storeset_no_fast_wakeup = Output(Bool()) 478c7160cd3SWilliam Wang val lvpred_timeout = Output(UInt(5.W)) 479f3f22d72SYinan Xu // Branch predictor 4802b8b2e7aSWilliam Wang val bp_ctrl = Output(new BPUCtrl) 481f3f22d72SYinan Xu // Memory Block 482f3f22d72SYinan Xu val sbuffer_threshold = Output(UInt(4.W)) 483d10a581eSWilliam Wang val ldld_vio_check_enable = Output(Bool()) 484d10a581eSWilliam Wang val soft_prefetch_enable = Output(Bool()) 485a4e57ea3SLi Qianruo val cache_error_enable = Output(Bool()) 48637225120Ssfencevma val uncache_write_outstanding_enable = Output(Bool()) 487aac4464eSYinan Xu // Rename 4885b47c58cSYinan Xu val fusion_enable = Output(Bool()) 4895b47c58cSYinan Xu val wfi_enable = Output(Bool()) 490af2f7849Shappy-lx // Decode 491af2f7849Shappy-lx val svinval_enable = Output(Bool()) 492af2f7849Shappy-lx 493b6982e83SLemover // distribute csr write signal 494b6982e83SLemover val distribute_csr = new DistributedCSRIO() 49572951335SLi Qianruo 496ddb65c47SLi Qianruo val singlestep = Output(Bool()) 49772951335SLi Qianruo val frontend_trigger = new FrontendTdataDistributeIO() 49872951335SLi Qianruo val mem_trigger = new MemTdataDistributeIO() 49972951335SLi Qianruo val trigger_enable = Output(Vec(10, Bool())) 500b6982e83SLemover} 501b6982e83SLemover 502b6982e83SLemoverclass DistributedCSRIO(implicit p: Parameters) extends XSBundle { 5031c746d3aScui fliter // CSR has been written by csr inst, copies of csr should be updated 504b6982e83SLemover val w = ValidIO(new Bundle { 505b6982e83SLemover val addr = Output(UInt(12.W)) 506b6982e83SLemover val data = Output(UInt(XLEN.W)) 507b6982e83SLemover }) 5082b8b2e7aSWilliam Wang} 509e19f7967SWilliam Wang 510e19f7967SWilliam Wangclass DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle { 511e19f7967SWilliam Wang // Request csr to be updated 512e19f7967SWilliam Wang // 513e19f7967SWilliam Wang // Note that this request will ONLY update CSR Module it self, 514e19f7967SWilliam Wang // copies of csr will NOT be updated, use it with care! 515e19f7967SWilliam Wang // 516e19f7967SWilliam Wang // For each cycle, no more than 1 DistributedCSRUpdateReq is valid 517e19f7967SWilliam Wang val w = ValidIO(new Bundle { 518e19f7967SWilliam Wang val addr = Output(UInt(12.W)) 519e19f7967SWilliam Wang val data = Output(UInt(XLEN.W)) 520e19f7967SWilliam Wang }) 521e19f7967SWilliam Wang def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = { 522e19f7967SWilliam Wang when(valid){ 523e19f7967SWilliam Wang w.bits.addr := addr 524e19f7967SWilliam Wang w.bits.data := data 525e19f7967SWilliam Wang } 526e19f7967SWilliam Wang println("Distributed CSR update req registered for " + src_description) 527e19f7967SWilliam Wang } 528e19f7967SWilliam Wang} 52972951335SLi Qianruo 5300f59c834SWilliam Wangclass L1CacheErrorInfo(implicit p: Parameters) extends XSBundle { 5310f59c834SWilliam Wang // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR 5320f59c834SWilliam Wang val source = Output(new Bundle() { 5330f59c834SWilliam Wang val tag = Bool() // l1 tag array 5340f59c834SWilliam Wang val data = Bool() // l1 data array 5350f59c834SWilliam Wang val l2 = Bool() 5360f59c834SWilliam Wang }) 5370f59c834SWilliam Wang val opType = Output(new Bundle() { 5380f59c834SWilliam Wang val fetch = Bool() 5390f59c834SWilliam Wang val load = Bool() 5400f59c834SWilliam Wang val store = Bool() 5410f59c834SWilliam Wang val probe = Bool() 5420f59c834SWilliam Wang val release = Bool() 5430f59c834SWilliam Wang val atom = Bool() 5440f59c834SWilliam Wang }) 5450f59c834SWilliam Wang val paddr = Output(UInt(PAddrBits.W)) 5460f59c834SWilliam Wang 5470f59c834SWilliam Wang // report error and paddr to beu 5480f59c834SWilliam Wang // bus error unit will receive error info iff ecc_error.valid 5490f59c834SWilliam Wang val report_to_beu = Output(Bool()) 5500f59c834SWilliam Wang 5510f59c834SWilliam Wang // there is an valid error 5520f59c834SWilliam Wang // l1 cache error will always be report to CACHE_ERROR csr 5530f59c834SWilliam Wang val valid = Output(Bool()) 5540f59c834SWilliam Wang 5550f59c834SWilliam Wang def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = { 5560f59c834SWilliam Wang val beu_info = Wire(new L1BusErrorUnitInfo) 5570f59c834SWilliam Wang beu_info.ecc_error.valid := report_to_beu 5580f59c834SWilliam Wang beu_info.ecc_error.bits := paddr 5590f59c834SWilliam Wang beu_info 5600f59c834SWilliam Wang } 5610f59c834SWilliam Wang} 562bc63e578SLi Qianruo 563bc63e578SLi Qianruo/* TODO how to trigger on next inst? 564bc63e578SLi Qianruo1. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep 565bc63e578SLi Qianruo2. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set 566bc63e578SLi Qianruoxret csr to pc + 4/ + 2 567bc63e578SLi Qianruo2.5 The problem is to let it commit. This is the real TODO 568bc63e578SLi Qianruo3. If it is load and hit before just treat it as regular load exception 569bc63e578SLi Qianruo */ 570bc63e578SLi Qianruo 571bc63e578SLi Qianruo// This bundle carries trigger hit info along the pipeline 572bc63e578SLi Qianruo// Now there are 10 triggers divided into 5 groups of 2 573bc63e578SLi Qianruo// These groups are 574bc63e578SLi Qianruo// (if if) (store store) (load loid) (if store) (if load) 575bc63e578SLi Qianruo 576bc63e578SLi Qianruo// Triggers in the same group can chain, meaning that they only 577bc63e578SLi Qianruo// fire is both triggers in the group matches (the triggerHitVec bit is asserted) 578bc63e578SLi Qianruo// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i) 579bc63e578SLi Qianruo// Timing of 0 means trap at current inst, 1 means trap at next inst 580bc63e578SLi Qianruo// Chaining and timing and the validness of a trigger is controlled by csr 581bc63e578SLi Qianruo// In two chained triggers, if they have different timing, both won't fire 58284e47f35SLi Qianruo//class TriggerCf (implicit p: Parameters) extends XSBundle { 58384e47f35SLi Qianruo// val triggerHitVec = Vec(10, Bool()) 58484e47f35SLi Qianruo// val triggerTiming = Vec(10, Bool()) 58584e47f35SLi Qianruo// val triggerChainVec = Vec(5, Bool()) 58684e47f35SLi Qianruo//} 58784e47f35SLi Qianruo 58872951335SLi Qianruoclass TriggerCf(implicit p: Parameters) extends XSBundle { 58984e47f35SLi Qianruo // frontend 59084e47f35SLi Qianruo val frontendHit = Vec(4, Bool()) 591ddb65c47SLi Qianruo// val frontendTiming = Vec(4, Bool()) 592ddb65c47SLi Qianruo// val frontendHitNext = Vec(4, Bool()) 59384e47f35SLi Qianruo 594ddb65c47SLi Qianruo// val frontendException = Bool() 59584e47f35SLi Qianruo // backend 59684e47f35SLi Qianruo val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4) 59784e47f35SLi Qianruo val backendHit = Vec(6, Bool()) 598ddb65c47SLi Qianruo// val backendTiming = Vec(6, Bool()) // trigger enable fro chain 59984e47f35SLi Qianruo 60084e47f35SLi Qianruo // Two situations not allowed: 60184e47f35SLi Qianruo // 1. load data comparison 60284e47f35SLi Qianruo // 2. store chaining with store 60384e47f35SLi Qianruo def getHitFrontend = frontendHit.reduce(_ || _) 60484e47f35SLi Qianruo def getHitBackend = backendHit.reduce(_ || _) 605ddb65c47SLi Qianruo def hit = getHitFrontend || getHitBackend 606d7dd1af1SLi Qianruo def clear(): Unit = { 607d7dd1af1SLi Qianruo frontendHit.foreach(_ := false.B) 608d7dd1af1SLi Qianruo backendEn.foreach(_ := false.B) 609d7dd1af1SLi Qianruo backendHit.foreach(_ := false.B) 610d7dd1af1SLi Qianruo } 61172951335SLi Qianruo} 61272951335SLi Qianruo 613bc63e578SLi Qianruo// these 3 bundles help distribute trigger control signals from CSR 614bc63e578SLi Qianruo// to Frontend, Load and Store. 61572951335SLi Qianruoclass FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle { 61672951335SLi Qianruo val t = Valid(new Bundle { 61772951335SLi Qianruo val addr = Output(UInt(2.W)) 61872951335SLi Qianruo val tdata = new MatchTriggerIO 61972951335SLi Qianruo }) 62072951335SLi Qianruo } 62172951335SLi Qianruo 62272951335SLi Qianruoclass MemTdataDistributeIO(implicit p: Parameters) extends XSBundle { 62372951335SLi Qianruo val t = Valid(new Bundle { 62472951335SLi Qianruo val addr = Output(UInt(3.W)) 62572951335SLi Qianruo val tdata = new MatchTriggerIO 62672951335SLi Qianruo }) 62772951335SLi Qianruo} 62872951335SLi Qianruo 62972951335SLi Qianruoclass MatchTriggerIO(implicit p: Parameters) extends XSBundle { 63072951335SLi Qianruo val matchType = Output(UInt(2.W)) 63172951335SLi Qianruo val select = Output(Bool()) 63272951335SLi Qianruo val timing = Output(Bool()) 63372951335SLi Qianruo val action = Output(Bool()) 63472951335SLi Qianruo val chain = Output(Bool()) 63572951335SLi Qianruo val tdata2 = Output(UInt(64.W)) 63672951335SLi Qianruo} 637