1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 171e3fad10SLinJiaweipackage xiangshan 181e3fad10SLinJiawei 191e3fad10SLinJiaweiimport chisel3._ 205844fcf0SLinJiaweiimport chisel3.util._ 219aca92b9SYinan Xuimport xiangshan.backend.rob.RobPtr 22f06ca0bfSLingrui98import xiangshan.backend.CtrlToFtqIO 23de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode} 245c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr} 2566b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo 26f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter 27dd6c0695SLingrui98import xiangshan.frontend.{GlobalHistory, ShiftingGlobalHistory, CircularGlobalHistory, AllFoldedHistories} 287447ee13SLingrui98import xiangshan.frontend.RASEntry 292b8b2e7aSWilliam Wangimport xiangshan.frontend.BPUCtrl 30e0d9a9f0SLingrui98import xiangshan.frontend.FtqPtr 31c2ad24ebSLingrui98import xiangshan.frontend.CGHPtr 32e0d9a9f0SLingrui98import xiangshan.frontend.FtqRead 33f06ca0bfSLingrui98import xiangshan.frontend.FtqToCtrlIO 34ceaf5e1fSLingrui98import utils._ 35b0ae3ac4SLinJiawei 362fbdb79bSLingrui98import scala.math.max 37d471c5aeSLingrui98import Chisel.experimental.chiselName 382225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 3988825c5cSYinan Xuimport chisel3.util.BitPat.bitPatToUInt 40b6982e83SLemoverimport xiangshan.backend.fu.PMPEntry 4114a6653fSLingrui98import xiangshan.frontend.Ftq_Redirect_SRAMEntry 42dd6c0695SLingrui98import xiangshan.frontend.AllFoldedHistories 431e3fad10SLinJiawei 44627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle { 453803411bSzhanglinjuan val valid = Bool() 4635fe60e8SLingrui98 val bits = gen.cloneType.asInstanceOf[T] 47fe211d16SLinJiawei 48627c0a19Szhanglinjuan override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type] 493803411bSzhanglinjuan} 503803411bSzhanglinjuan 51627c0a19Szhanglinjuanobject ValidUndirectioned { 52627c0a19Szhanglinjuan def apply[T <: Data](gen: T) = { 53627c0a19Szhanglinjuan new ValidUndirectioned[T](gen) 543803411bSzhanglinjuan } 553803411bSzhanglinjuan} 563803411bSzhanglinjuan 571b7adedcSWilliam Wangobject RSFeedbackType { 5867682d05SWilliam Wang val tlbMiss = 0.U(3.W) 5967682d05SWilliam Wang val mshrFull = 1.U(3.W) 6067682d05SWilliam Wang val dataInvalid = 2.U(3.W) 6167682d05SWilliam Wang val bankConflict = 3.U(3.W) 6267682d05SWilliam Wang val ldVioCheckRedo = 4.U(3.W) 631b7adedcSWilliam Wang 6467682d05SWilliam Wang def apply() = UInt(3.W) 651b7adedcSWilliam Wang} 661b7adedcSWilliam Wang 672225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle { 68097c2688SLingrui98 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 69097c2688SLingrui98 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 70097c2688SLingrui98 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 7151b2a476Szoujr} 7251b2a476Szoujr 732225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 74f226232fSzhanglinjuan // from backend 7569cafcc9SLingrui98 val pc = UInt(VAddrBits.W) 76f226232fSzhanglinjuan // frontend -> backend -> frontend 77f226232fSzhanglinjuan val pd = new PreDecodeInfo 788a5e9243SLinJiawei val rasSp = UInt(log2Up(RasSize).W) 792e947747SLinJiawei val rasEntry = new RASEntry 80c2ad24ebSLingrui98 // val hist = new ShiftingGlobalHistory 81dd6c0695SLingrui98 val folded_hist = new AllFoldedHistories(foldedGHistInfos) 82c2ad24ebSLingrui98 val histPtr = new CGHPtr 83e690b0d3SLingrui98 val phist = UInt(PathHistoryLength.W) 84e7b046c5Szoujr val specCnt = Vec(numBr, UInt(10.W)) 855df4db2aSLingrui98 val phNewBit = Bool() 86fe3a74fcSYinan Xu // need pipeline update 878a597714Szoujr val br_hit = Bool() 882e947747SLinJiawei val predTaken = Bool() 89b2e6921eSLinJiawei val target = UInt(VAddrBits.W) 909a2e6b8aSLinJiawei val taken = Bool() 91b2e6921eSLinJiawei val isMisPred = Bool() 92d0527adfSzoujr val shift = UInt((log2Ceil(numBr)+1).W) 93d0527adfSzoujr val addIntoHist = Bool() 9414a6653fSLingrui98 9514a6653fSLingrui98 def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = { 96c2ad24ebSLingrui98 // this.hist := entry.ghist 97dd6c0695SLingrui98 this.folded_hist := entry.folded_hist 98c2ad24ebSLingrui98 this.histPtr := entry.histPtr 9914a6653fSLingrui98 this.phist := entry.phist 10014a6653fSLingrui98 this.phNewBit := entry.phNewBit 10114a6653fSLingrui98 this.rasSp := entry.rasSp 10214a6653fSLingrui98 this.rasEntry := entry.rasEntry 10314a6653fSLingrui98 this.specCnt := entry.specCnt 10414a6653fSLingrui98 this 10514a6653fSLingrui98 } 106b2e6921eSLinJiawei} 107b2e6921eSLinJiawei 1085844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer 109de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle { 1105844fcf0SLinJiawei val instr = UInt(32.W) 1115844fcf0SLinJiawei val pc = UInt(VAddrBits.W) 112de169c67SWilliam Wang val foldpc = UInt(MemPredPCWidth.W) 113baf8def6SYinan Xu val exceptionVec = ExceptionVec() 11472951335SLi Qianruo val trigger = new TriggerCf 1155844fcf0SLinJiawei val intrVec = Vec(12, Bool()) 116faf3cfa9SLinJiawei val pd = new PreDecodeInfo 117cde9280dSLinJiawei val pred_taken = Bool() 118c84054caSLinJiawei val crossPageIPFFix = Bool() 119de169c67SWilliam Wang val storeSetHit = Bool() // inst has been allocated an store set 120980c1bc3SWilliam Wang val waitForRobIdx = new RobPtr // store set predicted previous store robIdx 121d1fe0262SWilliam Wang // Load wait is needed 122d1fe0262SWilliam Wang // load inst will not be executed until former store (predicted by mdp) addr calcuated 123d1fe0262SWilliam Wang val loadWaitBit = Bool() 124d1fe0262SWilliam Wang // If (loadWaitBit && loadWaitStrict), strict load wait is needed 125d1fe0262SWilliam Wang // load inst will not be executed until ALL former store addr calcuated 126d1fe0262SWilliam Wang val loadWaitStrict = Bool() 127de169c67SWilliam Wang val ssid = UInt(SSIDWidth.W) 128884dbb3bSLinJiawei val ftqPtr = new FtqPtr 129884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 1301f0e2dc7SJiawei Lin // This inst will flush all the pipe when it is the oldest inst in ROB, 1311f0e2dc7SJiawei Lin // then replay from this inst itself 1321f0e2dc7SJiawei Lin val replayInst = Bool() 1335844fcf0SLinJiawei} 1345844fcf0SLinJiawei 13572951335SLi Qianruo 1362225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 1372ce29ed6SLinJiawei val isAddSub = Bool() // swap23 138dc597826SJiawei Lin val typeTagIn = UInt(1.W) 139dc597826SJiawei Lin val typeTagOut = UInt(1.W) 1402ce29ed6SLinJiawei val fromInt = Bool() 1412ce29ed6SLinJiawei val wflags = Bool() 1422ce29ed6SLinJiawei val fpWen = Bool() 1432ce29ed6SLinJiawei val fmaCmd = UInt(2.W) 1442ce29ed6SLinJiawei val div = Bool() 1452ce29ed6SLinJiawei val sqrt = Bool() 1462ce29ed6SLinJiawei val fcvt = Bool() 1472ce29ed6SLinJiawei val typ = UInt(2.W) 1482ce29ed6SLinJiawei val fmt = UInt(2.W) 1492ce29ed6SLinJiawei val ren3 = Bool() //TODO: remove SrcType.fp 150e6c6b64fSLinJiawei val rm = UInt(3.W) 151579b9f28SLinJiawei} 152579b9f28SLinJiawei 1535844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage 1542225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle { 15520e31bd1SYinan Xu val srcType = Vec(3, SrcType()) 15620e31bd1SYinan Xu val lsrc = Vec(3, UInt(5.W)) 1579a2e6b8aSLinJiawei val ldest = UInt(5.W) 1589a2e6b8aSLinJiawei val fuType = FuType() 1599a2e6b8aSLinJiawei val fuOpType = FuOpType() 1609a2e6b8aSLinJiawei val rfWen = Bool() 1619a2e6b8aSLinJiawei val fpWen = Bool() 1629a2e6b8aSLinJiawei val isXSTrap = Bool() 1632d366136SLinJiawei val noSpecExec = Bool() // wait forward 1642d366136SLinJiawei val blockBackward = Bool() // block backward 16545a56a29SZhangZifei val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 166db34a189SLinJiawei val isRVF = Bool() 167c2a8ae00SYikeZhou val selImm = SelImm() 168b0ae3ac4SLinJiawei val imm = UInt(ImmUnion.maxLen.W) 169a3edac52SYinan Xu val commitType = CommitType() 170579b9f28SLinJiawei val fpu = new FPUCtrlSignals 171aac4464eSYinan Xu val isMove = Bool() 172d4aca96cSlqre val singleStep = Bool() 173c88c3a2aSYinan Xu // This inst will flush all the pipe when it is the oldest inst in ROB, 174c88c3a2aSYinan Xu // then replay from this inst itself 175c88c3a2aSYinan Xu val replayInst = Bool() 176be25371aSYikeZhou 17788825c5cSYinan Xu private def allSignals = srcType ++ Seq(fuType, fuOpType, rfWen, fpWen, 178c2a8ae00SYikeZhou isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm) 17988825c5cSYinan Xu 18088825c5cSYinan Xu def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = { 18188825c5cSYinan Xu val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table) 18288825c5cSYinan Xu allSignals zip decoder foreach { case (s, d) => s := d } 1834d24c305SYikeZhou commitType := DontCare 184be25371aSYikeZhou this 185be25371aSYikeZhou } 18688825c5cSYinan Xu 18788825c5cSYinan Xu def decode(bit: List[BitPat]): CtrlSignals = { 18888825c5cSYinan Xu allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d } 18988825c5cSYinan Xu this 19088825c5cSYinan Xu } 1915844fcf0SLinJiawei} 1925844fcf0SLinJiawei 1932225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle { 1945844fcf0SLinJiawei val cf = new CtrlFlow 1955844fcf0SLinJiawei val ctrl = new CtrlSignals 1965844fcf0SLinJiawei} 1975844fcf0SLinJiawei 1982225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle { 1998b8e745dSYikeZhou val eliminatedMove = Bool() 200ba4100caSYinan Xu // val fetchTime = UInt(64.W) 201ebb8ebf8SYinan Xu val renameTime = UInt(XLEN.W) 202ebb8ebf8SYinan Xu val dispatchTime = UInt(XLEN.W) 203ebb8ebf8SYinan Xu val enqRsTime = UInt(XLEN.W) 204ebb8ebf8SYinan Xu val selectTime = UInt(XLEN.W) 205ebb8ebf8SYinan Xu val issueTime = UInt(XLEN.W) 206ebb8ebf8SYinan Xu val writebackTime = UInt(XLEN.W) 2077cef916fSYinan Xu // val commitTime = UInt(64.W) 20820edb3f7SWilliam Wang val runahead_checkpoint_id = UInt(64.W) 209ba4100caSYinan Xu} 210ba4100caSYinan Xu 21148d1472eSWilliam Wang// Separate LSQ 2122225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle { 213915c0dd4SYinan Xu val lqIdx = new LqPtr 2145c1ae31bSYinan Xu val sqIdx = new SqPtr 21524726fbfSWilliam Wang} 21624726fbfSWilliam Wang 217b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage 2182225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl { 21920e31bd1SYinan Xu val srcState = Vec(3, SrcState()) 22020e31bd1SYinan Xu val psrc = Vec(3, UInt(PhyRegIdxWidth.W)) 22120e31bd1SYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 22220e31bd1SYinan Xu val old_pdest = UInt(PhyRegIdxWidth.W) 2239aca92b9SYinan Xu val robIdx = new RobPtr 224fe6452fcSYinan Xu val lqIdx = new LqPtr 225fe6452fcSYinan Xu val sqIdx = new SqPtr 226355fcd20SAllen val diffTestDebugLrScValid = Bool() 2278b8e745dSYikeZhou val eliminatedMove = Bool() 2287cef916fSYinan Xu val debugInfo = new PerfDebugInfo 229*9d4e1137SYinan Xu def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = { 230*9d4e1137SYinan Xu isFp match { 231*9d4e1137SYinan Xu case false => ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U && (srcState(index) === SrcState.rdy || ignoreState.B) 232*9d4e1137SYinan Xu case _ => ctrl.srcType(index) === SrcType.fp && (srcState(index) === SrcState.rdy || ignoreState.B) 233a338f247SYinan Xu } 234a338f247SYinan Xu } 2355c7674feSYinan Xu def srcIsReady: Vec[Bool] = { 236c9ebdf90SYinan Xu VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy }) 2375c7674feSYinan Xu } 2385c7674feSYinan Xu def doWriteIntRf: Bool = ctrl.rfWen && ctrl.ldest =/= 0.U 2395c7674feSYinan Xu def doWriteFpRf: Bool = ctrl.fpWen 240c88c3a2aSYinan Xu def clearExceptions(): MicroOp = { 241c88c3a2aSYinan Xu cf.exceptionVec.map(_ := false.B) 242c88c3a2aSYinan Xu ctrl.replayInst := false.B 243c88c3a2aSYinan Xu ctrl.flushPipe := false.B 244c88c3a2aSYinan Xu this 245c88c3a2aSYinan Xu } 2465844fcf0SLinJiawei} 2475844fcf0SLinJiawei 248de169c67SWilliam Wangclass MicroOpRbExt(implicit p: Parameters) extends XSBundle { 249de169c67SWilliam Wang val uop = new MicroOp 250de169c67SWilliam Wang val flag = UInt(1.W) 251de169c67SWilliam Wang} 252de169c67SWilliam Wang 2532225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle { 2549aca92b9SYinan Xu val robIdx = new RobPtr 25536d7aed5SLinJiawei val ftqIdx = new FtqPtr 25636d7aed5SLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 257bfb958a3SYinan Xu val level = RedirectLevel() 258bfb958a3SYinan Xu val interrupt = Bool() 259c778d2afSLinJiawei val cfiUpdate = new CfiUpdateInfo 260bfb958a3SYinan Xu 261de169c67SWilliam Wang val stFtqIdx = new FtqPtr // for load violation predict 262de169c67SWilliam Wang val stFtqOffset = UInt(log2Up(PredictWidth).W) 263fe211d16SLinJiawei 26420edb3f7SWilliam Wang val debug_runahead_checkpoint_id = UInt(64.W) 26520edb3f7SWilliam Wang 2662d7c7105SYinan Xu // def isUnconditional() = RedirectLevel.isUnconditional(level) 267bfb958a3SYinan Xu def flushItself() = RedirectLevel.flushItself(level) 2682d7c7105SYinan Xu // def isException() = RedirectLevel.isException(level) 269a25b1bceSLinJiawei} 270a25b1bceSLinJiawei 2712225d46eSJiawei Linclass Dp1ToDp2IO(implicit p: Parameters) extends XSBundle { 2725c7b21d5SYinan Xu val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 2735c7b21d5SYinan Xu val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp)) 2745c7b21d5SYinan Xu val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp)) 2755844fcf0SLinJiawei} 2765844fcf0SLinJiawei 2772b4e8253SYinan Xuclass ResetPregStateReq(implicit p: Parameters) extends XSBundle { 27860deaca2SLinJiawei // NOTE: set isInt and isFp both to 'false' when invalid 27960deaca2SLinJiawei val isInt = Bool() 28060deaca2SLinJiawei val isFp = Bool() 28160deaca2SLinJiawei val preg = UInt(PhyRegIdxWidth.W) 2825844fcf0SLinJiawei} 2835844fcf0SLinJiawei 2842225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle { 28572235fa4SWilliam Wang val isMMIO = Bool() 2868635f18fSwangkaifan val isPerfCnt = Bool() 2878b91a337SWilliam Wang val paddr = UInt(PAddrBits.W) 28872951335SLi Qianruo val vaddr = UInt(VAddrBits.W) 289e402d94eSWilliam Wang} 2905844fcf0SLinJiawei 2912225d46eSJiawei Linclass ExuInput(implicit p: Parameters) extends XSBundle { 2925844fcf0SLinJiawei val uop = new MicroOp 293dc597826SJiawei Lin val src = Vec(3, UInt(XLEN.W)) 2945844fcf0SLinJiawei} 2955844fcf0SLinJiawei 2962225d46eSJiawei Linclass ExuOutput(implicit p: Parameters) extends XSBundle { 2975844fcf0SLinJiawei val uop = new MicroOp 298dc597826SJiawei Lin val data = UInt(XLEN.W) 2997f1506e3SLinJiawei val fflags = UInt(5.W) 30097cfa7f8SLinJiawei val redirectValid = Bool() 30197cfa7f8SLinJiawei val redirect = new Redirect 302e402d94eSWilliam Wang val debug = new DebugBundle 3035844fcf0SLinJiawei} 3045844fcf0SLinJiawei 3052225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 30635bfeecbSYinan Xu val mtip = Input(Bool()) 30735bfeecbSYinan Xu val msip = Input(Bool()) 30835bfeecbSYinan Xu val meip = Input(Bool()) 309b3d79b37SYinan Xu val seip = Input(Bool()) 310d4aca96cSlqre val debug = Input(Bool()) 3115844fcf0SLinJiawei} 3125844fcf0SLinJiawei 3132225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle { 31435bfeecbSYinan Xu val exception = Flipped(ValidIO(new MicroOp)) 3153fa7b737SYinan Xu val isInterrupt = Input(Bool()) 31635bfeecbSYinan Xu val memExceptionVAddr = Input(UInt(VAddrBits.W)) 31735bfeecbSYinan Xu val trapTarget = Output(UInt(VAddrBits.W)) 31835bfeecbSYinan Xu val externalInterrupt = new ExternalInterruptIO 31935bfeecbSYinan Xu val interrupt = Output(Bool()) 32035bfeecbSYinan Xu} 32135bfeecbSYinan Xu 3222225d46eSJiawei Linclass ExceptionInfo(implicit p: Parameters) extends XSBundle { 3233a474d38SYinan Xu val uop = new MicroOp 3243a474d38SYinan Xu val isInterrupt = Bool() 3253a474d38SYinan Xu} 3263a474d38SYinan Xu 3279aca92b9SYinan Xuclass RobCommitInfo(implicit p: Parameters) extends XSBundle { 328fe6452fcSYinan Xu val ldest = UInt(5.W) 329fe6452fcSYinan Xu val rfWen = Bool() 330fe6452fcSYinan Xu val fpWen = Bool() 331a1fd7de4SLinJiawei val wflags = Bool() 332fe6452fcSYinan Xu val commitType = CommitType() 333fe6452fcSYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 334fe6452fcSYinan Xu val old_pdest = UInt(PhyRegIdxWidth.W) 335884dbb3bSLinJiawei val ftqIdx = new FtqPtr 336884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 3375844fcf0SLinJiawei 3389ecac1e8SYinan Xu // these should be optimized for synthesis verilog 3399ecac1e8SYinan Xu val pc = UInt(VAddrBits.W) 340fe6452fcSYinan Xu} 3415844fcf0SLinJiawei 3429aca92b9SYinan Xuclass RobCommitIO(implicit p: Parameters) extends XSBundle { 34321e7a6c5SYinan Xu val isWalk = Output(Bool()) 34421e7a6c5SYinan Xu val valid = Vec(CommitWidth, Output(Bool())) 3459aca92b9SYinan Xu val info = Vec(CommitWidth, Output(new RobCommitInfo)) 34621e7a6c5SYinan Xu 34721e7a6c5SYinan Xu def hasWalkInstr = isWalk && valid.asUInt.orR 348fe211d16SLinJiawei 34921e7a6c5SYinan Xu def hasCommitInstr = !isWalk && valid.asUInt.orR 3505844fcf0SLinJiawei} 3515844fcf0SLinJiawei 3521b7adedcSWilliam Wangclass RSFeedback(implicit p: Parameters) extends XSBundle { 35364e8d8bdSZhangZifei val rsIdx = UInt(log2Up(IssQueSize).W) 354037a131fSWilliam Wang val hit = Bool() 35562f57a35SLemover val flushState = Bool() 3561b7adedcSWilliam Wang val sourceType = RSFeedbackType() 357c7160cd3SWilliam Wang val dataInvalidSqIdx = new SqPtr 358037a131fSWilliam Wang} 359037a131fSWilliam Wang 360d87b76aaSWilliam Wangclass MemRSFeedbackIO(implicit p: Parameters) extends XSBundle { 361d87b76aaSWilliam Wang // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO 362d87b76aaSWilliam Wang // for instance: MemRSFeedbackIO()(updateP) 363d87b76aaSWilliam Wang val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss 364d87b76aaSWilliam Wang val feedbackFast = ValidIO(new RSFeedback()) // bank conflict 365d87b76aaSWilliam Wang val rsIdx = Input(UInt(log2Up(IssQueSize).W)) 366d87b76aaSWilliam Wang val isFirstIssue = Input(Bool()) 367d87b76aaSWilliam Wang} 368d87b76aaSWilliam Wang 369f06ca0bfSLingrui98class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle { 3705844fcf0SLinJiawei // to backend end 3715844fcf0SLinJiawei val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 372f06ca0bfSLingrui98 val fromFtq = new FtqToCtrlIO 3735844fcf0SLinJiawei // from backend 374f06ca0bfSLingrui98 val toFtq = Flipped(new CtrlToFtqIO) 3751e3fad10SLinJiawei} 376fcff7e94SZhangZifei 37745f497a4Shappy-lxclass SatpStruct extends Bundle { 37845f497a4Shappy-lx val mode = UInt(4.W) 37945f497a4Shappy-lx val asid = UInt(16.W) 38045f497a4Shappy-lx val ppn = UInt(44.W) 38145f497a4Shappy-lx} 38245f497a4Shappy-lx 3832225d46eSJiawei Linclass TlbCsrBundle(implicit p: Parameters) extends XSBundle { 384fcff7e94SZhangZifei val satp = new Bundle { 38545f497a4Shappy-lx val changed = Bool() 386fcff7e94SZhangZifei val mode = UInt(4.W) // TODO: may change number to parameter 387fcff7e94SZhangZifei val asid = UInt(16.W) 388fcff7e94SZhangZifei val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen 38945f497a4Shappy-lx 39045f497a4Shappy-lx def apply(satp_value: UInt): Unit = { 39145f497a4Shappy-lx require(satp_value.getWidth == XLEN) 39245f497a4Shappy-lx val sa = satp_value.asTypeOf(new SatpStruct) 39345f497a4Shappy-lx mode := sa.mode 39445f497a4Shappy-lx asid := sa.asid 39545f497a4Shappy-lx ppn := sa.ppn 39645f497a4Shappy-lx changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush 39745f497a4Shappy-lx } 398fcff7e94SZhangZifei } 399fcff7e94SZhangZifei val priv = new Bundle { 400fcff7e94SZhangZifei val mxr = Bool() 401fcff7e94SZhangZifei val sum = Bool() 402fcff7e94SZhangZifei val imode = UInt(2.W) 403fcff7e94SZhangZifei val dmode = UInt(2.W) 404fcff7e94SZhangZifei } 4058fc4e859SZhangZifei 4068fc4e859SZhangZifei override def toPrintable: Printable = { 4078fc4e859SZhangZifei p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 4088fc4e859SZhangZifei p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 4098fc4e859SZhangZifei } 410fcff7e94SZhangZifei} 411fcff7e94SZhangZifei 4122225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle { 413fcff7e94SZhangZifei val valid = Bool() 414fcff7e94SZhangZifei val bits = new Bundle { 415fcff7e94SZhangZifei val rs1 = Bool() 416fcff7e94SZhangZifei val rs2 = Bool() 417fcff7e94SZhangZifei val addr = UInt(VAddrBits.W) 41845f497a4Shappy-lx val asid = UInt(AsidLength.W) 419fcff7e94SZhangZifei } 4208fc4e859SZhangZifei 4218fc4e859SZhangZifei override def toPrintable: Printable = { 4228fc4e859SZhangZifei p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}" 4238fc4e859SZhangZifei } 424fcff7e94SZhangZifei} 425a165bd69Swangkaifan 426de169c67SWilliam Wang// Bundle for load violation predictor updating 427de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 4282b8b2e7aSWilliam Wang val valid = Bool() 429de169c67SWilliam Wang 430de169c67SWilliam Wang // wait table update 431de169c67SWilliam Wang val waddr = UInt(MemPredPCWidth.W) 4322b8b2e7aSWilliam Wang val wdata = Bool() // true.B by default 433de169c67SWilliam Wang 434de169c67SWilliam Wang // store set update 435de169c67SWilliam Wang // by default, ldpc/stpc should be xor folded 436de169c67SWilliam Wang val ldpc = UInt(MemPredPCWidth.W) 437de169c67SWilliam Wang val stpc = UInt(MemPredPCWidth.W) 4382b8b2e7aSWilliam Wang} 4392b8b2e7aSWilliam Wang 4402225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 4412b8b2e7aSWilliam Wang // Prefetcher 4422b8b2e7aSWilliam Wang val l1plus_pf_enable = Output(Bool()) 4432b8b2e7aSWilliam Wang val l2_pf_enable = Output(Bool()) 444f3f22d72SYinan Xu // Labeled XiangShan 4452b8b2e7aSWilliam Wang val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter 446f3f22d72SYinan Xu // Load violation predictor 4472b8b2e7aSWilliam Wang val lvpred_disable = Output(Bool()) 4482b8b2e7aSWilliam Wang val no_spec_load = Output(Bool()) 449c7160cd3SWilliam Wang val storeset_wait_store = Output(Bool()) 450c7160cd3SWilliam Wang val storeset_no_fast_wakeup = Output(Bool()) 451c7160cd3SWilliam Wang val lvpred_timeout = Output(UInt(5.W)) 452f3f22d72SYinan Xu // Branch predictor 4532b8b2e7aSWilliam Wang val bp_ctrl = Output(new BPUCtrl) 454f3f22d72SYinan Xu // Memory Block 455f3f22d72SYinan Xu val sbuffer_threshold = Output(UInt(4.W)) 45667682d05SWilliam Wang val ldld_vio_check = Output(Bool()) 457aac4464eSYinan Xu // Rename 458aac4464eSYinan Xu val move_elim_enable = Output(Bool()) 459af2f7849Shappy-lx // Decode 460af2f7849Shappy-lx val svinval_enable = Output(Bool()) 461af2f7849Shappy-lx 462b6982e83SLemover // distribute csr write signal 463b6982e83SLemover val distribute_csr = new DistributedCSRIO() 46472951335SLi Qianruo 46572951335SLi Qianruo val frontend_trigger = new FrontendTdataDistributeIO() 46672951335SLi Qianruo val mem_trigger = new MemTdataDistributeIO() 46772951335SLi Qianruo val trigger_enable = Output(Vec(10, Bool())) 468b6982e83SLemover} 469b6982e83SLemover 470b6982e83SLemoverclass DistributedCSRIO(implicit p: Parameters) extends XSBundle { 471e19f7967SWilliam Wang // CSR has been writen by csr inst, copies of csr should be updated 472b6982e83SLemover val w = ValidIO(new Bundle { 473b6982e83SLemover val addr = Output(UInt(12.W)) 474b6982e83SLemover val data = Output(UInt(XLEN.W)) 475b6982e83SLemover }) 4762b8b2e7aSWilliam Wang} 477e19f7967SWilliam Wang 478e19f7967SWilliam Wangclass DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle { 479e19f7967SWilliam Wang // Request csr to be updated 480e19f7967SWilliam Wang // 481e19f7967SWilliam Wang // Note that this request will ONLY update CSR Module it self, 482e19f7967SWilliam Wang // copies of csr will NOT be updated, use it with care! 483e19f7967SWilliam Wang // 484e19f7967SWilliam Wang // For each cycle, no more than 1 DistributedCSRUpdateReq is valid 485e19f7967SWilliam Wang val w = ValidIO(new Bundle { 486e19f7967SWilliam Wang val addr = Output(UInt(12.W)) 487e19f7967SWilliam Wang val data = Output(UInt(XLEN.W)) 488e19f7967SWilliam Wang }) 489e19f7967SWilliam Wang def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = { 490e19f7967SWilliam Wang when(valid){ 491e19f7967SWilliam Wang w.bits.addr := addr 492e19f7967SWilliam Wang w.bits.data := data 493e19f7967SWilliam Wang } 494e19f7967SWilliam Wang println("Distributed CSR update req registered for " + src_description) 495e19f7967SWilliam Wang } 496e19f7967SWilliam Wang} 49772951335SLi Qianruo 49872951335SLi Qianruoclass TriggerCf (implicit p: Parameters) extends XSBundle { 49972951335SLi Qianruo val triggerHitVec = Vec(10, Bool()) 50072951335SLi Qianruo val triggerTiming = Vec(10, Bool()) 50172951335SLi Qianruo val triggerChainVec = Vec(5, Bool()) 50272951335SLi Qianruo} 50372951335SLi Qianruo 50472951335SLi Qianruoclass FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle { 50572951335SLi Qianruo val t = Valid(new Bundle { 50672951335SLi Qianruo val addr = Output(UInt(2.W)) 50772951335SLi Qianruo val tdata = new MatchTriggerIO 50872951335SLi Qianruo }) 50972951335SLi Qianruo } 51072951335SLi Qianruo 51172951335SLi Qianruoclass MemTdataDistributeIO(implicit p: Parameters) extends XSBundle { 51272951335SLi Qianruo val t = Valid(new Bundle { 51372951335SLi Qianruo val addr = Output(UInt(3.W)) 51472951335SLi Qianruo val tdata = new MatchTriggerIO 51572951335SLi Qianruo }) 51672951335SLi Qianruo} 51772951335SLi Qianruo 51872951335SLi Qianruoclass MatchTriggerIO(implicit p: Parameters) extends XSBundle { 51972951335SLi Qianruo val matchType = Output(UInt(2.W)) 52072951335SLi Qianruo val select = Output(Bool()) 52172951335SLi Qianruo val timing = Output(Bool()) 52272951335SLi Qianruo val action = Output(Bool()) 52372951335SLi Qianruo val chain = Output(Bool()) 52472951335SLi Qianruo val tdata2 = Output(UInt(64.W)) 52572951335SLi Qianruo} 526