11e3fad10SLinJiaweipackage xiangshan 21e3fad10SLinJiawei 31e3fad10SLinJiaweiimport chisel3._ 45844fcf0SLinJiaweiimport chisel3.util._ 51e3fad10SLinJiawei 65844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache 71e3fad10SLinJiaweiclass FetchPacket extends XSBundle { 81e3fad10SLinJiawei val instrs = Vec(FetchWidth, UInt(32.W)) 91e3fad10SLinJiawei val mask = UInt(FetchWidth.W) 101e3fad10SLinJiawei val pc = UInt(VAddrBits.W) // the pc of first inst in the fetch group 111e3fad10SLinJiawei} 121e3fad10SLinJiawei 135844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer 145844fcf0SLinJiaweiclass CtrlFlow extends XSBundle { 155844fcf0SLinJiawei val instr = UInt(32.W) 165844fcf0SLinJiawei val pc = UInt(VAddrBits.W) 175844fcf0SLinJiawei val exceptionVec = Vec(16, Bool()) 185844fcf0SLinJiawei val intrVec = Vec(12, Bool()) 19*9a2e6b8aSLinJiawei val isRVC = Bool() 20*9a2e6b8aSLinJiawei val isBr = Bool() 215844fcf0SLinJiawei} 225844fcf0SLinJiawei 235844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage 245844fcf0SLinJiaweiclass CtrlSignals extends XSBundle { 25*9a2e6b8aSLinJiawei val src1Type, src2Type, src3Type = SrcType() 26*9a2e6b8aSLinJiawei val lsrc1, lsrc2, lsrc3 = UInt(5.W) 27*9a2e6b8aSLinJiawei val ldest = UInt(5.W) 28*9a2e6b8aSLinJiawei val fuType = FuType() 29*9a2e6b8aSLinJiawei val fuOpType = FuOpType() 30*9a2e6b8aSLinJiawei val rfWen = Bool() 31*9a2e6b8aSLinJiawei val fpWen = Bool() 32*9a2e6b8aSLinJiawei val isXSTrap = Bool() 33*9a2e6b8aSLinJiawei val noSpecExec = Bool() // This inst can not be speculated 34*9a2e6b8aSLinJiawei val isBlocked = Bool() // This inst requires pipeline to be blocked 355844fcf0SLinJiawei} 365844fcf0SLinJiawei 375844fcf0SLinJiaweiclass CfCtrl extends XSBundle { 385844fcf0SLinJiawei val cf = new CtrlFlow 395844fcf0SLinJiawei val ctrl = new CtrlSignals 40*9a2e6b8aSLinJiawei val brMask = UInt(BrqSize.W) 41*9a2e6b8aSLinJiawei val brTag = UInt(BrTagWidth.W) 425844fcf0SLinJiawei} 435844fcf0SLinJiawei 445844fcf0SLinJiawei// CfCtrl -> MicroOp at Rename Stage 455844fcf0SLinJiaweiclass MicroOp extends CfCtrl { 465844fcf0SLinJiawei 47*9a2e6b8aSLinJiawei val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W) 48*9a2e6b8aSLinJiawei val src1State, src2State, src3State = SrcState() 495844fcf0SLinJiawei 505844fcf0SLinJiawei val roqIdx = UInt(RoqIdxWidth.W) 515844fcf0SLinJiawei} 525844fcf0SLinJiawei 531e3fad10SLinJiaweiclass Redirect extends XSBundle { 541e3fad10SLinJiawei val target = UInt(VAddrBits.W) 555844fcf0SLinJiawei val brTag = UInt(BrTagWidth.W) 565844fcf0SLinJiawei} 575844fcf0SLinJiawei 585844fcf0SLinJiaweiclass Dp1ToDp2IO extends XSBundle { 595844fcf0SLinJiawei val intDqToDp2 = Vec(IntDqDeqWidth, DecoupledIO(new MicroOp)) 605844fcf0SLinJiawei val fpDqToDp2 = Vec(FpDqDeqWidth, DecoupledIO(new MicroOp)) 615844fcf0SLinJiawei val lsDqToDp2 = Vec(LsDqDeqWidth, DecoupledIO(new MicroOp)) 625844fcf0SLinJiawei} 635844fcf0SLinJiawei 645844fcf0SLinJiawei 655844fcf0SLinJiaweiclass ExuInput extends XSBundle { 665844fcf0SLinJiawei val uop = new MicroOp 675844fcf0SLinJiawei val src1, src2, src3 = UInt(XLEN.W) 685844fcf0SLinJiawei val isRVF = Bool() 695844fcf0SLinJiawei} 705844fcf0SLinJiawei 715844fcf0SLinJiaweiclass ExuOutput extends XSBundle { 725844fcf0SLinJiawei val uop = new MicroOp 735844fcf0SLinJiawei val data = UInt(XLEN.W) 745844fcf0SLinJiawei} 755844fcf0SLinJiawei 765844fcf0SLinJiaweiclass ExuIO extends XSBundle { 775844fcf0SLinJiawei val in = Flipped(DecoupledIO(new ExuInput)) 785844fcf0SLinJiawei val out = DecoupledIO(new ExuOutput) 795844fcf0SLinJiawei} 805844fcf0SLinJiawei 815844fcf0SLinJiaweiclass RoqCommit extends XSBundle { 825844fcf0SLinJiawei val uop = new MicroOp 835844fcf0SLinJiawei} 845844fcf0SLinJiawei 855844fcf0SLinJiaweiclass FrontendToBackendIO extends XSBundle { 865844fcf0SLinJiawei // to backend end 875844fcf0SLinJiawei val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 885844fcf0SLinJiawei // from backend 895844fcf0SLinJiawei val redirect = Flipped(ValidIO(new Redirect)) 905844fcf0SLinJiawei val commits = Vec(CommitWidth, Flipped(ValidIO(new RoqCommit))) // update branch pred 911e3fad10SLinJiawei}