xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision 89cc69c119d86d0be7a9e6acf061e9ead2843ebb)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
171e3fad10SLinJiaweipackage xiangshan
181e3fad10SLinJiawei
193b739f49SXuan Huimport chipsalliance.rocketchip.config.Parameters
201e3fad10SLinJiaweiimport chisel3._
213b739f49SXuan Huimport chisel3.util.BitPat.bitPatToUInt
225844fcf0SLinJiaweiimport chisel3.util._
233b739f49SXuan Huimport utility._
243b739f49SXuan Huimport utils._
25de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode}
26730cfbc0SXuan Huimport xiangshan.backend.fu.FuType
273b739f49SXuan Huimport xiangshan.backend.rob.RobPtr
283b739f49SXuan Huimport xiangshan.frontend._
295c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr}
30730cfbc0SXuan Huimport xiangshan.backend.Bundles.DynInst
31b52d4755SXuan Huimport xiangshan.backend.fu.vector.Bundles.VType
3266b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo
33f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter
34bcce877bSYinan Xuimport xiangshan.frontend.{AllFoldedHistories, CircularGlobalHistory, GlobalHistory, ShiftingGlobalHistory}
357447ee13SLingrui98import xiangshan.frontend.RASEntry
362b8b2e7aSWilliam Wangimport xiangshan.frontend.BPUCtrl
37e0d9a9f0SLingrui98import xiangshan.frontend.FtqPtr
38c2ad24ebSLingrui98import xiangshan.frontend.CGHPtr
39e0d9a9f0SLingrui98import xiangshan.frontend.FtqRead
40f06ca0bfSLingrui98import xiangshan.frontend.FtqToCtrlIO
41b9e121dfShappy-lximport xiangshan.cache.HasDCacheParameters
42ceaf5e1fSLingrui98import utils._
433c02ee8fSwakafaimport utility._
44b0ae3ac4SLinJiawei
452fbdb79bSLingrui98import scala.math.max
46d471c5aeSLingrui98import Chisel.experimental.chiselName
472225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters
4888825c5cSYinan Xuimport chisel3.util.BitPat.bitPatToUInt
497720a376Sfdyimport chisel3.util.experimental.decode.EspressoMinimizer
5024519898SXuan Huimport xiangshan.backend.CtrlToFtqIO
51b6982e83SLemoverimport xiangshan.backend.fu.PMPEntry
5214a6653fSLingrui98import xiangshan.frontend.Ftq_Redirect_SRAMEntry
53dd6c0695SLingrui98import xiangshan.frontend.AllFoldedHistories
5467402d75SLingrui98import xiangshan.frontend.AllAheadFoldedHistoryOldestBits
551e3fad10SLinJiawei
56627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
573803411bSzhanglinjuan  val valid = Bool()
5835fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
59fe211d16SLinJiawei
603803411bSzhanglinjuan}
613803411bSzhanglinjuan
62627c0a19Szhanglinjuanobject ValidUndirectioned {
63627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
64627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
653803411bSzhanglinjuan  }
663803411bSzhanglinjuan}
673803411bSzhanglinjuan
681b7adedcSWilliam Wangobject RSFeedbackType {
6968d13085SXuan Hu  val lrqFull         = 0.U(4.W)
7068d13085SXuan Hu  val tlbMiss         = 1.U(4.W)
7168d13085SXuan Hu  val mshrFull        = 2.U(4.W)
7268d13085SXuan Hu  val dataInvalid     = 3.U(4.W)
7368d13085SXuan Hu  val bankConflict    = 4.U(4.W)
7468d13085SXuan Hu  val ldVioCheckRedo  = 5.U(4.W)
75cee61068Sfdy  val feedbackInvalid = 7.U(4.W)
76cee61068Sfdy  val issueSuccess    = 8.U(4.W)
77ea0f92d8Sczw  val rfArbitFail     = 9.U(4.W)
78ea0f92d8Sczw  val fuIdle          = 10.U(4.W)
79ea0f92d8Sczw  val fuBusy          = 11.U(4.W)
80d54d930bSfdy  val fuUncertain     = 12.U(4.W)
81eb163ef0SHaojin Tang
8268d13085SXuan Hu  val allTypes = 16
83cee61068Sfdy  def apply() = UInt(4.W)
8461d88ec2SXuan Hu
8561d88ec2SXuan Hu  def isStageSuccess(feedbackType: UInt) = {
86cee61068Sfdy    feedbackType === issueSuccess
8761d88ec2SXuan Hu  }
88965c972cSXuan Hu
89965c972cSXuan Hu  def isBlocked(feedbackType: UInt) = {
90b536da76SXuan Hu    feedbackType === rfArbitFail || feedbackType === fuBusy || feedbackType >= lrqFull && feedbackType <= feedbackInvalid
91965c972cSXuan Hu  }
921b7adedcSWilliam Wang}
931b7adedcSWilliam Wang
942225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle {
95097c2688SLingrui98  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
96097c2688SLingrui98  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
97097c2688SLingrui98  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
9851b2a476Szoujr}
9951b2a476Szoujr
1002225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter {
101f226232fSzhanglinjuan  // from backend
10269cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
103f226232fSzhanglinjuan  // frontend -> backend -> frontend
104f226232fSzhanglinjuan  val pd = new PreDecodeInfo
1058a5e9243SLinJiawei  val rasSp = UInt(log2Up(RasSize).W)
1062e947747SLinJiawei  val rasEntry = new RASEntry
107c2ad24ebSLingrui98  // val hist = new ShiftingGlobalHistory
108dd6c0695SLingrui98  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
10967402d75SLingrui98  val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)
11067402d75SLingrui98  val lastBrNumOH = UInt((numBr+1).W)
111b37e4b45SLingrui98  val ghr = UInt(UbtbGHRLength.W)
112c2ad24ebSLingrui98  val histPtr = new CGHPtr
113e7b046c5Szoujr  val specCnt = Vec(numBr, UInt(10.W))
114fe3a74fcSYinan Xu  // need pipeline update
115d2b20d1aSTang Haojin  val br_hit = Bool() // if in ftb entry
116d2b20d1aSTang Haojin  val jr_hit = Bool() // if in ftb entry
117d2b20d1aSTang Haojin  val sc_hit = Bool() // if used in ftb entry, invalid if !br_hit
1182e947747SLinJiawei  val predTaken = Bool()
119b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
1209a2e6b8aSLinJiawei  val taken = Bool()
121b2e6921eSLinJiawei  val isMisPred = Bool()
122d0527adfSzoujr  val shift = UInt((log2Ceil(numBr)+1).W)
123d0527adfSzoujr  val addIntoHist = Bool()
12414a6653fSLingrui98
12514a6653fSLingrui98  def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = {
126c2ad24ebSLingrui98    // this.hist := entry.ghist
127dd6c0695SLingrui98    this.folded_hist := entry.folded_hist
12867402d75SLingrui98    this.lastBrNumOH := entry.lastBrNumOH
12967402d75SLingrui98    this.afhob := entry.afhob
130c2ad24ebSLingrui98    this.histPtr := entry.histPtr
13114a6653fSLingrui98    this.rasSp := entry.rasSp
132c2d1ec7dSLingrui98    this.rasEntry := entry.rasTop
13314a6653fSLingrui98    this
13414a6653fSLingrui98  }
135b2e6921eSLinJiawei}
136b2e6921eSLinJiawei
1375844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
138de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle {
1395844fcf0SLinJiawei  val instr = UInt(32.W)
1405844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
141de169c67SWilliam Wang  val foldpc = UInt(MemPredPCWidth.W)
142baf8def6SYinan Xu  val exceptionVec = ExceptionVec()
14372951335SLi Qianruo  val trigger = new TriggerCf
144faf3cfa9SLinJiawei  val pd = new PreDecodeInfo
145cde9280dSLinJiawei  val pred_taken = Bool()
146c84054caSLinJiawei  val crossPageIPFFix = Bool()
147de169c67SWilliam Wang  val storeSetHit = Bool() // inst has been allocated an store set
148980c1bc3SWilliam Wang  val waitForRobIdx = new RobPtr // store set predicted previous store robIdx
149d1fe0262SWilliam Wang  // Load wait is needed
150d1fe0262SWilliam Wang  // load inst will not be executed until former store (predicted by mdp) addr calcuated
151d1fe0262SWilliam Wang  val loadWaitBit = Bool()
152d1fe0262SWilliam Wang  // If (loadWaitBit && loadWaitStrict), strict load wait is needed
153d1fe0262SWilliam Wang  // load inst will not be executed until ALL former store addr calcuated
154d1fe0262SWilliam Wang  val loadWaitStrict = Bool()
155de169c67SWilliam Wang  val ssid = UInt(SSIDWidth.W)
156884dbb3bSLinJiawei  val ftqPtr = new FtqPtr
157884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
1585844fcf0SLinJiawei}
1595844fcf0SLinJiawei
16072951335SLi Qianruo
1612225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle {
1622ce29ed6SLinJiawei  val isAddSub = Bool() // swap23
163dc597826SJiawei Lin  val typeTagIn = UInt(1.W)
164dc597826SJiawei Lin  val typeTagOut = UInt(1.W)
1652ce29ed6SLinJiawei  val fromInt = Bool()
1662ce29ed6SLinJiawei  val wflags = Bool()
1672ce29ed6SLinJiawei  val fpWen = Bool()
1682ce29ed6SLinJiawei  val fmaCmd = UInt(2.W)
1692ce29ed6SLinJiawei  val div = Bool()
1702ce29ed6SLinJiawei  val sqrt = Bool()
1712ce29ed6SLinJiawei  val fcvt = Bool()
1722ce29ed6SLinJiawei  val typ = UInt(2.W)
1732ce29ed6SLinJiawei  val fmt = UInt(2.W)
1742ce29ed6SLinJiawei  val ren3 = Bool() //TODO: remove SrcType.fp
175e6c6b64fSLinJiawei  val rm = UInt(3.W)
176579b9f28SLinJiawei}
177579b9f28SLinJiawei
1785844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
1792225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle {
1808744445eSMaxpicca-Li  val debug_globalID = UInt(XLEN.W)
181a7a8a6ccSHaojin Tang  val srcType = Vec(4, SrcType())
182a7a8a6ccSHaojin Tang  val lsrc = Vec(4, UInt(6.W))
183a7a8a6ccSHaojin Tang  val ldest = UInt(6.W)
1849a2e6b8aSLinJiawei  val fuType = FuType()
1859a2e6b8aSLinJiawei  val fuOpType = FuOpType()
1869a2e6b8aSLinJiawei  val rfWen = Bool()
1879a2e6b8aSLinJiawei  val fpWen = Bool()
188deb6421eSHaojin Tang  val vecWen = Bool()
1899a2e6b8aSLinJiawei  val isXSTrap = Bool()
1902d366136SLinJiawei  val noSpecExec = Bool() // wait forward
1912d366136SLinJiawei  val blockBackward = Bool() // block backward
19245a56a29SZhangZifei  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
193e2695e90SzhanglyGit  val uopSplitType = UopSplitType()
194c2a8ae00SYikeZhou  val selImm = SelImm()
195b0ae3ac4SLinJiawei  val imm = UInt(ImmUnion.maxLen.W)
196a3edac52SYinan Xu  val commitType = CommitType()
197579b9f28SLinJiawei  val fpu = new FPUCtrlSignals
1984aa9ed34Sfdy  val uopIdx = UInt(5.W)
199aac4464eSYinan Xu  val isMove = Bool()
2001a0debc2Sczw  val vm = Bool()
201d4aca96cSlqre  val singleStep = Bool()
202c88c3a2aSYinan Xu  // This inst will flush all the pipe when it is the oldest inst in ROB,
203c88c3a2aSYinan Xu  // then replay from this inst itself
204c88c3a2aSYinan Xu  val replayInst = Bool()
205*89cc69c1STang Haojin  val canRobCompress = Bool()
206be25371aSYikeZhou
20757a10886SXuan Hu  private def allSignals = srcType.take(3) ++ Seq(fuType, fuOpType, rfWen, fpWen, vecWen,
208*89cc69c1STang Haojin    isXSTrap, noSpecExec, blockBackward, flushPipe, canRobCompress, uopSplitType, selImm)
20988825c5cSYinan Xu
21088825c5cSYinan Xu  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = {
2117720a376Sfdy    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table, EspressoMinimizer)
21288825c5cSYinan Xu    allSignals zip decoder foreach { case (s, d) => s := d }
2134d24c305SYikeZhou    commitType := DontCare
214be25371aSYikeZhou    this
215be25371aSYikeZhou  }
21688825c5cSYinan Xu
21788825c5cSYinan Xu  def decode(bit: List[BitPat]): CtrlSignals = {
21888825c5cSYinan Xu    allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d }
21988825c5cSYinan Xu    this
22088825c5cSYinan Xu  }
221b6900d94SYinan Xu
2223b739f49SXuan Hu  def isWFI: Bool = fuType === FuType.csr.U && fuOpType === CSROpType.wfi
223f025d715SYinan Xu  def isSoftPrefetch: Bool = {
2243b739f49SXuan Hu    fuType === FuType.alu.U && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U
225f025d715SYinan Xu  }
2263d1a5c10Smaliao  def needWriteRf: Bool = (rfWen && ldest =/= 0.U) || fpWen || vecWen
2275844fcf0SLinJiawei}
2285844fcf0SLinJiawei
2292225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle {
2305844fcf0SLinJiawei  val cf = new CtrlFlow
2315844fcf0SLinJiawei  val ctrl = new CtrlSignals
2325844fcf0SLinJiawei}
2335844fcf0SLinJiawei
2342225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle {
2358b8e745dSYikeZhou  val eliminatedMove = Bool()
2368744445eSMaxpicca-Li  // val fetchTime = UInt(XLEN.W)
237ebb8ebf8SYinan Xu  val renameTime = UInt(XLEN.W)
238ebb8ebf8SYinan Xu  val dispatchTime = UInt(XLEN.W)
239ebb8ebf8SYinan Xu  val enqRsTime = UInt(XLEN.W)
240ebb8ebf8SYinan Xu  val selectTime = UInt(XLEN.W)
241ebb8ebf8SYinan Xu  val issueTime = UInt(XLEN.W)
242ebb8ebf8SYinan Xu  val writebackTime = UInt(XLEN.W)
2438744445eSMaxpicca-Li  // val commitTime = UInt(XLEN.W)
2448744445eSMaxpicca-Li  val runahead_checkpoint_id = UInt(XLEN.W)
2458744445eSMaxpicca-Li  val tlbFirstReqTime = UInt(XLEN.W)
2468744445eSMaxpicca-Li  val tlbRespTime = UInt(XLEN.W) // when getting hit result (including delay in L2TLB hit)
247ba4100caSYinan Xu}
248ba4100caSYinan Xu
24948d1472eSWilliam Wang// Separate LSQ
2502225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle {
251915c0dd4SYinan Xu  val lqIdx = new LqPtr
2525c1ae31bSYinan Xu  val sqIdx = new SqPtr
25324726fbfSWilliam Wang}
25424726fbfSWilliam Wang
255b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
2562225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl {
257a7a8a6ccSHaojin Tang  val srcState = Vec(4, SrcState())
258a7a8a6ccSHaojin Tang  val psrc = Vec(4, UInt(PhyRegIdxWidth.W))
25920e31bd1SYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
2609aca92b9SYinan Xu  val robIdx = new RobPtr
261*89cc69c1STang Haojin  val instrSize = UInt(log2Ceil(RenameWidth + 1).W)
262fe6452fcSYinan Xu  val lqIdx = new LqPtr
263fe6452fcSYinan Xu  val sqIdx = new SqPtr
2648b8e745dSYikeZhou  val eliminatedMove = Bool()
265fa7f2c26STang Haojin  val snapshot = Bool()
2667cef916fSYinan Xu  val debugInfo = new PerfDebugInfo
2679d4e1137SYinan Xu  def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = {
268bcce877bSYinan Xu    val stateReady = srcState(index) === SrcState.rdy || ignoreState.B
269bcce877bSYinan Xu    val readReg = if (isFp) {
270bcce877bSYinan Xu      ctrl.srcType(index) === SrcType.fp
271bcce877bSYinan Xu    } else {
272bcce877bSYinan Xu      ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U
273a338f247SYinan Xu    }
274bcce877bSYinan Xu    readReg && stateReady
275a338f247SYinan Xu  }
2765c7674feSYinan Xu  def srcIsReady: Vec[Bool] = {
277c9ebdf90SYinan Xu    VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy })
2785c7674feSYinan Xu  }
2796ab6918fSYinan Xu  def clearExceptions(
2806ab6918fSYinan Xu    exceptionBits: Seq[Int] = Seq(),
2816ab6918fSYinan Xu    flushPipe: Boolean = false,
2826ab6918fSYinan Xu    replayInst: Boolean = false
2836ab6918fSYinan Xu  ): MicroOp = {
2846ab6918fSYinan Xu    cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B)
2856ab6918fSYinan Xu    if (!flushPipe) { ctrl.flushPipe := false.B }
2866ab6918fSYinan Xu    if (!replayInst) { ctrl.replayInst := false.B }
287c88c3a2aSYinan Xu    this
288c88c3a2aSYinan Xu  }
2895844fcf0SLinJiawei}
2905844fcf0SLinJiawei
29146f74b57SHaojin Tangclass XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle {
292dfb4c5dcSXuan Hu  val uop = new DynInst
29346f74b57SHaojin Tang}
29446f74b57SHaojin Tang
29546f74b57SHaojin Tangclass MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp {
296de169c67SWilliam Wang  val flag = UInt(1.W)
2971e3fad10SLinJiawei}
298de169c67SWilliam Wang
2992225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle {
30014a67055Ssfencevma  val isRVC = Bool()
3019aca92b9SYinan Xu  val robIdx = new RobPtr
30236d7aed5SLinJiawei  val ftqIdx = new FtqPtr
30336d7aed5SLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
304bfb958a3SYinan Xu  val level = RedirectLevel()
305bfb958a3SYinan Xu  val interrupt = Bool()
306c778d2afSLinJiawei  val cfiUpdate = new CfiUpdateInfo
307bfb958a3SYinan Xu
308de169c67SWilliam Wang  val stFtqIdx = new FtqPtr // for load violation predict
309de169c67SWilliam Wang  val stFtqOffset = UInt(log2Up(PredictWidth).W)
310fe211d16SLinJiawei
31120edb3f7SWilliam Wang  val debug_runahead_checkpoint_id = UInt(64.W)
312d2b20d1aSTang Haojin  val debugIsCtrl = Bool()
313d2b20d1aSTang Haojin  val debugIsMemVio = Bool()
31420edb3f7SWilliam Wang
315bfb958a3SYinan Xu  def flushItself() = RedirectLevel.flushItself(level)
316a25b1bceSLinJiawei}
317a25b1bceSLinJiawei
3182b4e8253SYinan Xuclass ResetPregStateReq(implicit p: Parameters) extends XSBundle {
31960deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
32060deaca2SLinJiawei  val isInt = Bool()
32160deaca2SLinJiawei  val isFp = Bool()
32260deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
3235844fcf0SLinJiawei}
3245844fcf0SLinJiawei
3252225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle {
32672235fa4SWilliam Wang  val isMMIO = Bool()
3278635f18fSwangkaifan  val isPerfCnt = Bool()
3288b91a337SWilliam Wang  val paddr = UInt(PAddrBits.W)
32972951335SLi Qianruo  val vaddr = UInt(VAddrBits.W)
3308744445eSMaxpicca-Li  /* add L/S inst info in EXU */
3318744445eSMaxpicca-Li  // val L1toL2TlbLatency = UInt(XLEN.W)
3328744445eSMaxpicca-Li  // val levelTlbHit = UInt(2.W)
333e402d94eSWilliam Wang}
3345844fcf0SLinJiawei
3352225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle {
33635bfeecbSYinan Xu  val mtip = Input(Bool())
33735bfeecbSYinan Xu  val msip = Input(Bool())
33835bfeecbSYinan Xu  val meip = Input(Bool())
339b3d79b37SYinan Xu  val seip = Input(Bool())
340d4aca96cSlqre  val debug = Input(Bool())
3415844fcf0SLinJiawei}
3425844fcf0SLinJiawei
3432225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle {
3443b739f49SXuan Hu  val exception = Flipped(ValidIO(new DynInst))
3453fa7b737SYinan Xu  val isInterrupt = Input(Bool())
34635bfeecbSYinan Xu  val memExceptionVAddr = Input(UInt(VAddrBits.W))
34735bfeecbSYinan Xu  val trapTarget = Output(UInt(VAddrBits.W))
34835bfeecbSYinan Xu  val externalInterrupt = new ExternalInterruptIO
34935bfeecbSYinan Xu  val interrupt = Output(Bool())
35035bfeecbSYinan Xu}
35135bfeecbSYinan Xu
352a8db15d8Sfdyclass RabCommitInfo(implicit p: Parameters) extends XSBundle {
353a8db15d8Sfdy  val ldest = UInt(6.W)
354a8db15d8Sfdy  val pdest = UInt(PhyRegIdxWidth.W)
355a8db15d8Sfdy  val old_pdest = UInt(PhyRegIdxWidth.W)
356a8db15d8Sfdy  val rfWen = Bool()
357a8db15d8Sfdy  val fpWen = Bool()
358a8db15d8Sfdy  val vecWen = Bool()
359a8db15d8Sfdy  val isMove = Bool()
360a8db15d8Sfdy}
361a8db15d8Sfdy
362a8db15d8Sfdyclass RabCommitIO(implicit p: Parameters) extends XSBundle {
363a8db15d8Sfdy  val isCommit = Bool()
364a8db15d8Sfdy  val commitValid = Vec(CommitWidth, Bool())
365a8db15d8Sfdy  val isWalk = Bool()
366a8db15d8Sfdy  val walkValid = Vec(CommitWidth, Bool())
367a8db15d8Sfdy  val info = Vec(CommitWidth, new RabCommitInfo)
368a8db15d8Sfdy}
369a8db15d8Sfdy
370a8db15d8Sfdyclass DiffCommitIO(implicit p: Parameters) extends XSBundle {
371a8db15d8Sfdy  val isCommit = Bool()
372a8db15d8Sfdy  val commitValid = Vec(CommitWidth * MaxUopSize, Bool())
373a8db15d8Sfdy
374a8db15d8Sfdy  val info = Vec(CommitWidth * MaxUopSize, new RobCommitInfo)
375a8db15d8Sfdy}
376a8db15d8Sfdy
3779aca92b9SYinan Xuclass RobCommitInfo(implicit p: Parameters) extends XSBundle {
378a7a8a6ccSHaojin Tang  val ldest = UInt(6.W)
379fe6452fcSYinan Xu  val rfWen = Bool()
380fe6452fcSYinan Xu  val fpWen = Bool()
381deb6421eSHaojin Tang  val vecWen = Bool()
3820f038924SZhangZifei  def fpVecWen = fpWen || vecWen
383a1fd7de4SLinJiawei  val wflags = Bool()
384fe6452fcSYinan Xu  val commitType = CommitType()
385fe6452fcSYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
386884dbb3bSLinJiawei  val ftqIdx = new FtqPtr
387884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
388ccfddc82SHaojin Tang  val isMove = Bool()
38914a67055Ssfencevma  val isRVC = Bool()
390a8db15d8Sfdy  val isVset = Bool()
391a8db15d8Sfdy  val vtype = new VType
3925844fcf0SLinJiawei
3939ecac1e8SYinan Xu  // these should be optimized for synthesis verilog
3949ecac1e8SYinan Xu  val pc = UInt(VAddrBits.W)
395*89cc69c1STang Haojin
396*89cc69c1STang Haojin  val instrSize = UInt(log2Ceil(RenameWidth + 1).W)
397fe6452fcSYinan Xu}
3985844fcf0SLinJiawei
3999aca92b9SYinan Xuclass RobCommitIO(implicit p: Parameters) extends XSBundle {
400ccfddc82SHaojin Tang  val isCommit = Bool()
401ccfddc82SHaojin Tang  val commitValid = Vec(CommitWidth, Bool())
4026474c47fSYinan Xu
403ccfddc82SHaojin Tang  val isWalk = Bool()
404c51eab43SYinan Xu  // valid bits optimized for walk
405ccfddc82SHaojin Tang  val walkValid = Vec(CommitWidth, Bool())
4066474c47fSYinan Xu
407ccfddc82SHaojin Tang  val info = Vec(CommitWidth, new RobCommitInfo)
408fa7f2c26STang Haojin  val robIdx = Vec(CommitWidth, new RobPtr)
40921e7a6c5SYinan Xu
4106474c47fSYinan Xu  def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR
4116474c47fSYinan Xu  def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR
4125844fcf0SLinJiawei}
4135844fcf0SLinJiawei
414fa7f2c26STang Haojinclass SnapshotPort(implicit p: Parameters) extends XSBundle {
415fa7f2c26STang Haojin  val snptEnq = Bool()
416fa7f2c26STang Haojin  val snptDeq = Bool()
417fa7f2c26STang Haojin  val useSnpt = Bool()
418fa7f2c26STang Haojin  val snptSelect = UInt(log2Ceil(RenameSnapshotNum).W)
419fa7f2c26STang Haojin}
420fa7f2c26STang Haojin
4211b7adedcSWilliam Wangclass RSFeedback(implicit p: Parameters) extends XSBundle {
422730cfbc0SXuan Hu  val rsIdx = UInt(log2Up(IQSizeMax).W)
423037a131fSWilliam Wang  val hit = Bool()
42462f57a35SLemover  val flushState = Bool()
4251b7adedcSWilliam Wang  val sourceType = RSFeedbackType()
426c7160cd3SWilliam Wang  val dataInvalidSqIdx = new SqPtr
427037a131fSWilliam Wang}
428037a131fSWilliam Wang
429d87b76aaSWilliam Wangclass MemRSFeedbackIO(implicit p: Parameters) extends XSBundle {
430d87b76aaSWilliam Wang  // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO
431d87b76aaSWilliam Wang  // for instance: MemRSFeedbackIO()(updateP)
432d87b76aaSWilliam Wang  val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss
433d87b76aaSWilliam Wang  val feedbackFast = ValidIO(new RSFeedback()) // bank conflict
434d87b76aaSWilliam Wang}
435d87b76aaSWilliam Wang
436f06ca0bfSLingrui98class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle {
4375844fcf0SLinJiawei  // to backend end
4385844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
439d2b20d1aSTang Haojin  val stallReason = new StallReasonIO(DecodeWidth)
440f06ca0bfSLingrui98  val fromFtq = new FtqToCtrlIO
4415844fcf0SLinJiawei  // from backend
442f06ca0bfSLingrui98  val toFtq = Flipped(new CtrlToFtqIO)
4431e3fad10SLinJiawei}
444fcff7e94SZhangZifei
445f1fe8698SLemoverclass SatpStruct(implicit p: Parameters) extends XSBundle {
44645f497a4Shappy-lx  val mode = UInt(4.W)
44745f497a4Shappy-lx  val asid = UInt(16.W)
44845f497a4Shappy-lx  val ppn  = UInt(44.W)
44945f497a4Shappy-lx}
45045f497a4Shappy-lx
451f1fe8698SLemoverclass TlbSatpBundle(implicit p: Parameters) extends SatpStruct {
45245f497a4Shappy-lx  val changed = Bool()
45345f497a4Shappy-lx
45445f497a4Shappy-lx  def apply(satp_value: UInt): Unit = {
45545f497a4Shappy-lx    require(satp_value.getWidth == XLEN)
45645f497a4Shappy-lx    val sa = satp_value.asTypeOf(new SatpStruct)
45745f497a4Shappy-lx    mode := sa.mode
45845f497a4Shappy-lx    asid := sa.asid
459f1fe8698SLemover    ppn := Cat(0.U(44-PAddrBits), sa.ppn(PAddrBits-1, 0)).asUInt()
46045f497a4Shappy-lx    changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush
46145f497a4Shappy-lx  }
462fcff7e94SZhangZifei}
463f1fe8698SLemover
464f1fe8698SLemoverclass TlbCsrBundle(implicit p: Parameters) extends XSBundle {
465f1fe8698SLemover  val satp = new TlbSatpBundle()
466fcff7e94SZhangZifei  val priv = new Bundle {
467fcff7e94SZhangZifei    val mxr = Bool()
468fcff7e94SZhangZifei    val sum = Bool()
469fcff7e94SZhangZifei    val imode = UInt(2.W)
470fcff7e94SZhangZifei    val dmode = UInt(2.W)
471fcff7e94SZhangZifei  }
4728fc4e859SZhangZifei
4738fc4e859SZhangZifei  override def toPrintable: Printable = {
4748fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
4758fc4e859SZhangZifei      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
4768fc4e859SZhangZifei  }
477fcff7e94SZhangZifei}
478fcff7e94SZhangZifei
4792225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle {
480fcff7e94SZhangZifei  val valid = Bool()
481fcff7e94SZhangZifei  val bits = new Bundle {
482fcff7e94SZhangZifei    val rs1 = Bool()
483fcff7e94SZhangZifei    val rs2 = Bool()
484fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
48545f497a4Shappy-lx    val asid = UInt(AsidLength.W)
486f1fe8698SLemover    val flushPipe = Bool()
487fcff7e94SZhangZifei  }
4888fc4e859SZhangZifei
4898fc4e859SZhangZifei  override def toPrintable: Printable = {
490f1fe8698SLemover    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}"
4918fc4e859SZhangZifei  }
492fcff7e94SZhangZifei}
493a165bd69Swangkaifan
494de169c67SWilliam Wang// Bundle for load violation predictor updating
495de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle  {
4962b8b2e7aSWilliam Wang  val valid = Bool()
497de169c67SWilliam Wang
498de169c67SWilliam Wang  // wait table update
499de169c67SWilliam Wang  val waddr = UInt(MemPredPCWidth.W)
5002b8b2e7aSWilliam Wang  val wdata = Bool() // true.B by default
501de169c67SWilliam Wang
502de169c67SWilliam Wang  // store set update
503de169c67SWilliam Wang  // by default, ldpc/stpc should be xor folded
504de169c67SWilliam Wang  val ldpc = UInt(MemPredPCWidth.W)
505de169c67SWilliam Wang  val stpc = UInt(MemPredPCWidth.W)
5062b8b2e7aSWilliam Wang}
5072b8b2e7aSWilliam Wang
5082225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle {
5092b8b2e7aSWilliam Wang  // Prefetcher
510ecccf78fSJay  val l1I_pf_enable = Output(Bool())
5112b8b2e7aSWilliam Wang  val l2_pf_enable = Output(Bool())
51285de5caeSLinJiawei  val l1D_pf_enable = Output(Bool())
51385de5caeSLinJiawei  val l1D_pf_train_on_hit = Output(Bool())
51485de5caeSLinJiawei  val l1D_pf_enable_agt = Output(Bool())
51585de5caeSLinJiawei  val l1D_pf_enable_pht = Output(Bool())
5165d13017eSLinJiawei  val l1D_pf_active_threshold = Output(UInt(4.W))
5175d13017eSLinJiawei  val l1D_pf_active_stride = Output(UInt(6.W))
518edbf1204SLinJiawei  val l1D_pf_enable_stride = Output(Bool())
519f1d78cf7SLinJiawei  val l2_pf_store_only = Output(Bool())
520ecccf78fSJay  // ICache
521ecccf78fSJay  val icache_parity_enable = Output(Bool())
522f3f22d72SYinan Xu  // Labeled XiangShan
5232b8b2e7aSWilliam Wang  val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter
524f3f22d72SYinan Xu  // Load violation predictor
5252b8b2e7aSWilliam Wang  val lvpred_disable = Output(Bool())
5262b8b2e7aSWilliam Wang  val no_spec_load = Output(Bool())
527c7160cd3SWilliam Wang  val storeset_wait_store = Output(Bool())
528c7160cd3SWilliam Wang  val storeset_no_fast_wakeup = Output(Bool())
529c7160cd3SWilliam Wang  val lvpred_timeout = Output(UInt(5.W))
530f3f22d72SYinan Xu  // Branch predictor
5312b8b2e7aSWilliam Wang  val bp_ctrl = Output(new BPUCtrl)
532f3f22d72SYinan Xu  // Memory Block
533f3f22d72SYinan Xu  val sbuffer_threshold = Output(UInt(4.W))
534d10a581eSWilliam Wang  val ldld_vio_check_enable = Output(Bool())
535d10a581eSWilliam Wang  val soft_prefetch_enable = Output(Bool())
536a4e57ea3SLi Qianruo  val cache_error_enable = Output(Bool())
53737225120Ssfencevma  val uncache_write_outstanding_enable = Output(Bool())
538aac4464eSYinan Xu  // Rename
5395b47c58cSYinan Xu  val fusion_enable = Output(Bool())
5405b47c58cSYinan Xu  val wfi_enable = Output(Bool())
541af2f7849Shappy-lx  // Decode
542af2f7849Shappy-lx  val svinval_enable = Output(Bool())
543af2f7849Shappy-lx
544b6982e83SLemover  // distribute csr write signal
545b6982e83SLemover  val distribute_csr = new DistributedCSRIO()
54672951335SLi Qianruo
547ddb65c47SLi Qianruo  val singlestep = Output(Bool())
54872951335SLi Qianruo  val frontend_trigger = new FrontendTdataDistributeIO()
54972951335SLi Qianruo  val mem_trigger = new MemTdataDistributeIO()
55072951335SLi Qianruo  val trigger_enable = Output(Vec(10, Bool()))
551b6982e83SLemover}
552b6982e83SLemover
553b6982e83SLemoverclass DistributedCSRIO(implicit p: Parameters) extends XSBundle {
5541c746d3aScui fliter  // CSR has been written by csr inst, copies of csr should be updated
555b6982e83SLemover  val w = ValidIO(new Bundle {
556b6982e83SLemover    val addr = Output(UInt(12.W))
557b6982e83SLemover    val data = Output(UInt(XLEN.W))
558b6982e83SLemover  })
5592b8b2e7aSWilliam Wang}
560e19f7967SWilliam Wang
561e19f7967SWilliam Wangclass DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle {
562e19f7967SWilliam Wang  // Request csr to be updated
563e19f7967SWilliam Wang  //
564e19f7967SWilliam Wang  // Note that this request will ONLY update CSR Module it self,
565e19f7967SWilliam Wang  // copies of csr will NOT be updated, use it with care!
566e19f7967SWilliam Wang  //
567e19f7967SWilliam Wang  // For each cycle, no more than 1 DistributedCSRUpdateReq is valid
568e19f7967SWilliam Wang  val w = ValidIO(new Bundle {
569e19f7967SWilliam Wang    val addr = Output(UInt(12.W))
570e19f7967SWilliam Wang    val data = Output(UInt(XLEN.W))
571e19f7967SWilliam Wang  })
572e19f7967SWilliam Wang  def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = {
573e19f7967SWilliam Wang    when(valid){
574e19f7967SWilliam Wang      w.bits.addr := addr
575e19f7967SWilliam Wang      w.bits.data := data
576e19f7967SWilliam Wang    }
577e19f7967SWilliam Wang    println("Distributed CSR update req registered for " + src_description)
578e19f7967SWilliam Wang  }
579e19f7967SWilliam Wang}
58072951335SLi Qianruo
5810f59c834SWilliam Wangclass L1CacheErrorInfo(implicit p: Parameters) extends XSBundle {
5820f59c834SWilliam Wang  // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR
5830f59c834SWilliam Wang  val source = Output(new Bundle() {
5840f59c834SWilliam Wang    val tag = Bool() // l1 tag array
5850f59c834SWilliam Wang    val data = Bool() // l1 data array
5860f59c834SWilliam Wang    val l2 = Bool()
5870f59c834SWilliam Wang  })
5880f59c834SWilliam Wang  val opType = Output(new Bundle() {
5890f59c834SWilliam Wang    val fetch = Bool()
5900f59c834SWilliam Wang    val load = Bool()
5910f59c834SWilliam Wang    val store = Bool()
5920f59c834SWilliam Wang    val probe = Bool()
5930f59c834SWilliam Wang    val release = Bool()
5940f59c834SWilliam Wang    val atom = Bool()
5950f59c834SWilliam Wang  })
5960f59c834SWilliam Wang  val paddr = Output(UInt(PAddrBits.W))
5970f59c834SWilliam Wang
5980f59c834SWilliam Wang  // report error and paddr to beu
5990f59c834SWilliam Wang  // bus error unit will receive error info iff ecc_error.valid
6000f59c834SWilliam Wang  val report_to_beu = Output(Bool())
6010f59c834SWilliam Wang
6020f59c834SWilliam Wang  // there is an valid error
6030f59c834SWilliam Wang  // l1 cache error will always be report to CACHE_ERROR csr
6040f59c834SWilliam Wang  val valid = Output(Bool())
6050f59c834SWilliam Wang
6060f59c834SWilliam Wang  def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = {
6070f59c834SWilliam Wang    val beu_info = Wire(new L1BusErrorUnitInfo)
6080f59c834SWilliam Wang    beu_info.ecc_error.valid := report_to_beu
6090f59c834SWilliam Wang    beu_info.ecc_error.bits := paddr
6100f59c834SWilliam Wang    beu_info
6110f59c834SWilliam Wang  }
6120f59c834SWilliam Wang}
613bc63e578SLi Qianruo
614bc63e578SLi Qianruo/* TODO how to trigger on next inst?
615bc63e578SLi Qianruo1. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep
616bc63e578SLi Qianruo2. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set
617bc63e578SLi Qianruoxret csr to pc + 4/ + 2
618bc63e578SLi Qianruo2.5 The problem is to let it commit. This is the real TODO
619bc63e578SLi Qianruo3. If it is load and hit before just treat it as regular load exception
620bc63e578SLi Qianruo */
621bc63e578SLi Qianruo
622bc63e578SLi Qianruo// This bundle carries trigger hit info along the pipeline
623bc63e578SLi Qianruo// Now there are 10 triggers divided into 5 groups of 2
624bc63e578SLi Qianruo// These groups are
625bc63e578SLi Qianruo// (if if) (store store) (load loid) (if store) (if load)
626bc63e578SLi Qianruo
627bc63e578SLi Qianruo// Triggers in the same group can chain, meaning that they only
628bc63e578SLi Qianruo// fire is both triggers in the group matches (the triggerHitVec bit is asserted)
629bc63e578SLi Qianruo// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i)
630bc63e578SLi Qianruo// Timing of 0 means trap at current inst, 1 means trap at next inst
631bc63e578SLi Qianruo// Chaining and timing and the validness of a trigger is controlled by csr
632bc63e578SLi Qianruo// In two chained triggers, if they have different timing, both won't fire
63384e47f35SLi Qianruo//class TriggerCf (implicit p: Parameters) extends XSBundle {
63484e47f35SLi Qianruo//  val triggerHitVec = Vec(10, Bool())
63584e47f35SLi Qianruo//  val triggerTiming = Vec(10, Bool())
63684e47f35SLi Qianruo//  val triggerChainVec = Vec(5, Bool())
63784e47f35SLi Qianruo//}
63884e47f35SLi Qianruo
63972951335SLi Qianruoclass TriggerCf(implicit p: Parameters) extends XSBundle {
64084e47f35SLi Qianruo  // frontend
64184e47f35SLi Qianruo  val frontendHit = Vec(4, Bool())
642ddb65c47SLi Qianruo//  val frontendTiming = Vec(4, Bool())
643ddb65c47SLi Qianruo//  val frontendHitNext = Vec(4, Bool())
64484e47f35SLi Qianruo
645ddb65c47SLi Qianruo//  val frontendException = Bool()
64684e47f35SLi Qianruo  // backend
64784e47f35SLi Qianruo  val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4)
64884e47f35SLi Qianruo  val backendHit = Vec(6, Bool())
649ddb65c47SLi Qianruo//  val backendTiming = Vec(6, Bool()) // trigger enable fro chain
65084e47f35SLi Qianruo
65184e47f35SLi Qianruo  // Two situations not allowed:
65284e47f35SLi Qianruo  // 1. load data comparison
65384e47f35SLi Qianruo  // 2. store chaining with store
65484e47f35SLi Qianruo  def getHitFrontend = frontendHit.reduce(_ || _)
65584e47f35SLi Qianruo  def getHitBackend = backendHit.reduce(_ || _)
656ddb65c47SLi Qianruo  def hit = getHitFrontend || getHitBackend
657d7dd1af1SLi Qianruo  def clear(): Unit = {
658d7dd1af1SLi Qianruo    frontendHit.foreach(_ := false.B)
659d7dd1af1SLi Qianruo    backendEn.foreach(_ := false.B)
660d7dd1af1SLi Qianruo    backendHit.foreach(_ := false.B)
661d7dd1af1SLi Qianruo  }
66272951335SLi Qianruo}
66372951335SLi Qianruo
664bc63e578SLi Qianruo// these 3 bundles help distribute trigger control signals from CSR
665bc63e578SLi Qianruo// to Frontend, Load and Store.
66672951335SLi Qianruoclass FrontendTdataDistributeIO(implicit p: Parameters)  extends XSBundle {
66772951335SLi Qianruo    val t = Valid(new Bundle {
66872951335SLi Qianruo      val addr = Output(UInt(2.W))
66972951335SLi Qianruo      val tdata = new MatchTriggerIO
67072951335SLi Qianruo    })
67172951335SLi Qianruo  }
67272951335SLi Qianruo
67372951335SLi Qianruoclass MemTdataDistributeIO(implicit p: Parameters)  extends XSBundle {
67472951335SLi Qianruo  val t = Valid(new Bundle {
67572951335SLi Qianruo    val addr = Output(UInt(3.W))
67672951335SLi Qianruo    val tdata = new MatchTriggerIO
67772951335SLi Qianruo  })
67872951335SLi Qianruo}
67972951335SLi Qianruo
68072951335SLi Qianruoclass MatchTriggerIO(implicit p: Parameters) extends XSBundle {
68172951335SLi Qianruo  val matchType = Output(UInt(2.W))
68272951335SLi Qianruo  val select = Output(Bool())
68372951335SLi Qianruo  val timing = Output(Bool())
68472951335SLi Qianruo  val action = Output(Bool())
68572951335SLi Qianruo  val chain = Output(Bool())
68672951335SLi Qianruo  val tdata2 = Output(UInt(64.W))
68772951335SLi Qianruo}
688b9e121dfShappy-lx
689d2b20d1aSTang Haojinclass StallReasonIO(width: Int) extends Bundle {
690d2b20d1aSTang Haojin  val reason = Output(Vec(width, UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W)))
691d2b20d1aSTang Haojin  val backReason = Flipped(Valid(UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W)))
692d2b20d1aSTang Haojin}
693d2b20d1aSTang Haojin
694b9e121dfShappy-lx// custom l2 - l1 interface
695b9e121dfShappy-lxclass L2ToL1Hint(implicit p: Parameters) extends XSBundle with HasDCacheParameters {
696b9e121dfShappy-lx  val sourceId = UInt(log2Up(cfg.nMissEntries).W)    // tilelink sourceID -> mshr id
697b9e121dfShappy-lx}
698