1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 171e3fad10SLinJiaweipackage xiangshan 181e3fad10SLinJiawei 193b739f49SXuan Huimport chipsalliance.rocketchip.config.Parameters 201e3fad10SLinJiaweiimport chisel3._ 213b739f49SXuan Huimport chisel3.util.BitPat.bitPatToUInt 225844fcf0SLinJiaweiimport chisel3.util._ 233b739f49SXuan Huimport utility._ 243b739f49SXuan Huimport utils._ 25*730cfbc0SXuan Huimport xiangshan.backend.ctrlblock.CtrlToFtqIO 26de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode} 27*730cfbc0SXuan Huimport xiangshan.backend.fu.FuType 283b739f49SXuan Huimport xiangshan.backend.rob.RobPtr 293b739f49SXuan Huimport xiangshan.frontend._ 305c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr} 31*730cfbc0SXuan Huimport xiangshan.backend.Bundles.DynInst 321e3fad10SLinJiawei 33627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle { 343803411bSzhanglinjuan val valid = Bool() 3535fe60e8SLingrui98 val bits = gen.cloneType.asInstanceOf[T] 36fe211d16SLinJiawei 373803411bSzhanglinjuan} 383803411bSzhanglinjuan 39627c0a19Szhanglinjuanobject ValidUndirectioned { 40627c0a19Szhanglinjuan def apply[T <: Data](gen: T) = { 41627c0a19Szhanglinjuan new ValidUndirectioned[T](gen) 423803411bSzhanglinjuan } 433803411bSzhanglinjuan} 443803411bSzhanglinjuan 451b7adedcSWilliam Wangobject RSFeedbackType { 46cee61068Sfdy val tlbMiss = 0.U(4.W) 47cee61068Sfdy val mshrFull = 1.U(4.W) 48cee61068Sfdy val dataInvalid = 2.U(4.W) 49cee61068Sfdy val bankConflict = 3.U(4.W) 50cee61068Sfdy val ldVioCheckRedo = 4.U(4.W) 51cee61068Sfdy val feedbackInvalid = 7.U(4.W) 52cee61068Sfdy val issueSuccess = 8.U(4.W) 53cee61068Sfdy val issueFail = 9.U(4.W) 54cee61068Sfdy val rfArbitSuccess = 10.U(4.W) 55cee61068Sfdy val rfArbitFail = 11.U(4.W) 56cee61068Sfdy val fuIdle = 12.U(4.W) 57cee61068Sfdy val fuBusy = 13.U(4.W) 58eb163ef0SHaojin Tang 59cee61068Sfdy def apply() = UInt(4.W) 6061d88ec2SXuan Hu 6161d88ec2SXuan Hu def isStageSuccess(feedbackType: UInt) = { 62cee61068Sfdy feedbackType === issueSuccess 6361d88ec2SXuan Hu } 64965c972cSXuan Hu 65965c972cSXuan Hu def isBlocked(feedbackType: UInt) = { 66cee61068Sfdy feedbackType === issueFail || feedbackType === rfArbitFail || feedbackType === fuBusy 67965c972cSXuan Hu } 681b7adedcSWilliam Wang} 691b7adedcSWilliam Wang 702225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle { 71097c2688SLingrui98 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 72097c2688SLingrui98 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 73097c2688SLingrui98 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 7451b2a476Szoujr} 7551b2a476Szoujr 762225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 77f226232fSzhanglinjuan // from backend 7869cafcc9SLingrui98 val pc = UInt(VAddrBits.W) 79f226232fSzhanglinjuan // frontend -> backend -> frontend 80f226232fSzhanglinjuan val pd = new PreDecodeInfo 818a5e9243SLinJiawei val rasSp = UInt(log2Up(RasSize).W) 822e947747SLinJiawei val rasEntry = new RASEntry 83c2ad24ebSLingrui98 // val hist = new ShiftingGlobalHistory 84dd6c0695SLingrui98 val folded_hist = new AllFoldedHistories(foldedGHistInfos) 8567402d75SLingrui98 val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos) 8667402d75SLingrui98 val lastBrNumOH = UInt((numBr+1).W) 87b37e4b45SLingrui98 val ghr = UInt(UbtbGHRLength.W) 88c2ad24ebSLingrui98 val histPtr = new CGHPtr 89e7b046c5Szoujr val specCnt = Vec(numBr, UInt(10.W)) 90fe3a74fcSYinan Xu // need pipeline update 918a597714Szoujr val br_hit = Bool() 922e947747SLinJiawei val predTaken = Bool() 93b2e6921eSLinJiawei val target = UInt(VAddrBits.W) 949a2e6b8aSLinJiawei val taken = Bool() 95b2e6921eSLinJiawei val isMisPred = Bool() 96d0527adfSzoujr val shift = UInt((log2Ceil(numBr)+1).W) 97d0527adfSzoujr val addIntoHist = Bool() 9814a6653fSLingrui98 9914a6653fSLingrui98 def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = { 100c2ad24ebSLingrui98 // this.hist := entry.ghist 101dd6c0695SLingrui98 this.folded_hist := entry.folded_hist 10267402d75SLingrui98 this.lastBrNumOH := entry.lastBrNumOH 10367402d75SLingrui98 this.afhob := entry.afhob 104c2ad24ebSLingrui98 this.histPtr := entry.histPtr 10514a6653fSLingrui98 this.rasSp := entry.rasSp 106c2d1ec7dSLingrui98 this.rasEntry := entry.rasTop 10714a6653fSLingrui98 this 10814a6653fSLingrui98 } 109b2e6921eSLinJiawei} 110b2e6921eSLinJiawei 1115844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer 112de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle { 1135844fcf0SLinJiawei val instr = UInt(32.W) 1145844fcf0SLinJiawei val pc = UInt(VAddrBits.W) 115de169c67SWilliam Wang val foldpc = UInt(MemPredPCWidth.W) 116baf8def6SYinan Xu val exceptionVec = ExceptionVec() 11772951335SLi Qianruo val trigger = new TriggerCf 118faf3cfa9SLinJiawei val pd = new PreDecodeInfo 119cde9280dSLinJiawei val pred_taken = Bool() 120c84054caSLinJiawei val crossPageIPFFix = Bool() 121de169c67SWilliam Wang val storeSetHit = Bool() // inst has been allocated an store set 122980c1bc3SWilliam Wang val waitForRobIdx = new RobPtr // store set predicted previous store robIdx 123d1fe0262SWilliam Wang // Load wait is needed 124d1fe0262SWilliam Wang // load inst will not be executed until former store (predicted by mdp) addr calcuated 125d1fe0262SWilliam Wang val loadWaitBit = Bool() 126d1fe0262SWilliam Wang // If (loadWaitBit && loadWaitStrict), strict load wait is needed 127d1fe0262SWilliam Wang // load inst will not be executed until ALL former store addr calcuated 128d1fe0262SWilliam Wang val loadWaitStrict = Bool() 129de169c67SWilliam Wang val ssid = UInt(SSIDWidth.W) 130884dbb3bSLinJiawei val ftqPtr = new FtqPtr 131884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 1325844fcf0SLinJiawei} 1335844fcf0SLinJiawei 13472951335SLi Qianruo 1352225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 1362ce29ed6SLinJiawei val isAddSub = Bool() // swap23 137dc597826SJiawei Lin val typeTagIn = UInt(1.W) 138dc597826SJiawei Lin val typeTagOut = UInt(1.W) 1392ce29ed6SLinJiawei val fromInt = Bool() 1402ce29ed6SLinJiawei val wflags = Bool() 1412ce29ed6SLinJiawei val fpWen = Bool() 1422ce29ed6SLinJiawei val fmaCmd = UInt(2.W) 1432ce29ed6SLinJiawei val div = Bool() 1442ce29ed6SLinJiawei val sqrt = Bool() 1452ce29ed6SLinJiawei val fcvt = Bool() 1462ce29ed6SLinJiawei val typ = UInt(2.W) 1472ce29ed6SLinJiawei val fmt = UInt(2.W) 1482ce29ed6SLinJiawei val ren3 = Bool() //TODO: remove SrcType.fp 149e6c6b64fSLinJiawei val rm = UInt(3.W) 150579b9f28SLinJiawei} 151579b9f28SLinJiawei 1525844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage 1532225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle { 154a7a8a6ccSHaojin Tang val srcType = Vec(4, SrcType()) 155a7a8a6ccSHaojin Tang val lsrc = Vec(4, UInt(6.W)) 156a7a8a6ccSHaojin Tang val ldest = UInt(6.W) 1579a2e6b8aSLinJiawei val fuType = FuType() 1589a2e6b8aSLinJiawei val fuOpType = FuOpType() 1599a2e6b8aSLinJiawei val rfWen = Bool() 1609a2e6b8aSLinJiawei val fpWen = Bool() 161deb6421eSHaojin Tang val vecWen = Bool() 1629a2e6b8aSLinJiawei val isXSTrap = Bool() 1632d366136SLinJiawei val noSpecExec = Bool() // wait forward 1642d366136SLinJiawei val blockBackward = Bool() // block backward 16545a56a29SZhangZifei val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 166c2a8ae00SYikeZhou val selImm = SelImm() 167b0ae3ac4SLinJiawei val imm = UInt(ImmUnion.maxLen.W) 168a3edac52SYinan Xu val commitType = CommitType() 169579b9f28SLinJiawei val fpu = new FPUCtrlSignals 1704aa9ed34Sfdy val uopIdx = UInt(5.W) 1714aa9ed34Sfdy val vconfig = UInt(16.W) 172aac4464eSYinan Xu val isMove = Bool() 173d4aca96cSlqre val singleStep = Bool() 174c88c3a2aSYinan Xu // This inst will flush all the pipe when it is the oldest inst in ROB, 175c88c3a2aSYinan Xu // then replay from this inst itself 176c88c3a2aSYinan Xu val replayInst = Bool() 177be25371aSYikeZhou 17857a10886SXuan Hu private def allSignals = srcType.take(3) ++ Seq(fuType, fuOpType, rfWen, fpWen, vecWen, 1796e7c9679Shuxuan0307 isXSTrap, noSpecExec, blockBackward, flushPipe, selImm) 18088825c5cSYinan Xu 18188825c5cSYinan Xu def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = { 18257a10886SXuan Hu val decoder: Seq[UInt] = ListLookup( 18357a10886SXuan Hu inst, XDecode.decodeDefault.map(bitPatToUInt), 18457a10886SXuan Hu table.map{ case (pat, pats) => (pat, pats.map(bitPatToUInt)) }.toArray 18557a10886SXuan Hu ) 18688825c5cSYinan Xu allSignals zip decoder foreach { case (s, d) => s := d } 1874d24c305SYikeZhou commitType := DontCare 188be25371aSYikeZhou this 189be25371aSYikeZhou } 19088825c5cSYinan Xu 19188825c5cSYinan Xu def decode(bit: List[BitPat]): CtrlSignals = { 19288825c5cSYinan Xu allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d } 19388825c5cSYinan Xu this 19488825c5cSYinan Xu } 195b6900d94SYinan Xu 1963b739f49SXuan Hu def isWFI: Bool = fuType === FuType.csr.U && fuOpType === CSROpType.wfi 197f025d715SYinan Xu def isSoftPrefetch: Bool = { 1983b739f49SXuan Hu fuType === FuType.alu.U && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U 199f025d715SYinan Xu } 2005844fcf0SLinJiawei} 2015844fcf0SLinJiawei 2022225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle { 2035844fcf0SLinJiawei val cf = new CtrlFlow 2045844fcf0SLinJiawei val ctrl = new CtrlSignals 2055844fcf0SLinJiawei} 2065844fcf0SLinJiawei 2072225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle { 2088b8e745dSYikeZhou val eliminatedMove = Bool() 209ba4100caSYinan Xu // val fetchTime = UInt(64.W) 210ebb8ebf8SYinan Xu val renameTime = UInt(XLEN.W) 211ebb8ebf8SYinan Xu val dispatchTime = UInt(XLEN.W) 212ebb8ebf8SYinan Xu val enqRsTime = UInt(XLEN.W) 213ebb8ebf8SYinan Xu val selectTime = UInt(XLEN.W) 214ebb8ebf8SYinan Xu val issueTime = UInt(XLEN.W) 215ebb8ebf8SYinan Xu val writebackTime = UInt(XLEN.W) 2167cef916fSYinan Xu // val commitTime = UInt(64.W) 21720edb3f7SWilliam Wang val runahead_checkpoint_id = UInt(64.W) 218ba4100caSYinan Xu} 219ba4100caSYinan Xu 22048d1472eSWilliam Wang// Separate LSQ 2212225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle { 222915c0dd4SYinan Xu val lqIdx = new LqPtr 2235c1ae31bSYinan Xu val sqIdx = new SqPtr 22424726fbfSWilliam Wang} 22524726fbfSWilliam Wang 226b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage 2272225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl { 228a7a8a6ccSHaojin Tang val srcState = Vec(4, SrcState()) 229a7a8a6ccSHaojin Tang val psrc = Vec(4, UInt(PhyRegIdxWidth.W)) 23020e31bd1SYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 23120e31bd1SYinan Xu val old_pdest = UInt(PhyRegIdxWidth.W) 2329aca92b9SYinan Xu val robIdx = new RobPtr 233fe6452fcSYinan Xu val lqIdx = new LqPtr 234fe6452fcSYinan Xu val sqIdx = new SqPtr 2358b8e745dSYikeZhou val eliminatedMove = Bool() 2367cef916fSYinan Xu val debugInfo = new PerfDebugInfo 2379d4e1137SYinan Xu def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = { 238bcce877bSYinan Xu val stateReady = srcState(index) === SrcState.rdy || ignoreState.B 239bcce877bSYinan Xu val readReg = if (isFp) { 240bcce877bSYinan Xu ctrl.srcType(index) === SrcType.fp 241bcce877bSYinan Xu } else { 242bcce877bSYinan Xu ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U 243a338f247SYinan Xu } 244bcce877bSYinan Xu readReg && stateReady 245a338f247SYinan Xu } 2465c7674feSYinan Xu def srcIsReady: Vec[Bool] = { 247c9ebdf90SYinan Xu VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy }) 2485c7674feSYinan Xu } 2496ab6918fSYinan Xu def clearExceptions( 2506ab6918fSYinan Xu exceptionBits: Seq[Int] = Seq(), 2516ab6918fSYinan Xu flushPipe: Boolean = false, 2526ab6918fSYinan Xu replayInst: Boolean = false 2536ab6918fSYinan Xu ): MicroOp = { 2546ab6918fSYinan Xu cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B) 2556ab6918fSYinan Xu if (!flushPipe) { ctrl.flushPipe := false.B } 2566ab6918fSYinan Xu if (!replayInst) { ctrl.replayInst := false.B } 257c88c3a2aSYinan Xu this 258c88c3a2aSYinan Xu } 2595844fcf0SLinJiawei} 2605844fcf0SLinJiawei 2612225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle { 2629aca92b9SYinan Xu val robIdx = new RobPtr 26336d7aed5SLinJiawei val ftqIdx = new FtqPtr 26436d7aed5SLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 265bfb958a3SYinan Xu val level = RedirectLevel() 266bfb958a3SYinan Xu val interrupt = Bool() 267c778d2afSLinJiawei val cfiUpdate = new CfiUpdateInfo 268bfb958a3SYinan Xu 269de169c67SWilliam Wang val stFtqIdx = new FtqPtr // for load violation predict 270de169c67SWilliam Wang val stFtqOffset = UInt(log2Up(PredictWidth).W) 271fe211d16SLinJiawei 27220edb3f7SWilliam Wang val debug_runahead_checkpoint_id = UInt(64.W) 27320edb3f7SWilliam Wang 274bfb958a3SYinan Xu def flushItself() = RedirectLevel.flushItself(level) 275a25b1bceSLinJiawei} 276a25b1bceSLinJiawei 2772b4e8253SYinan Xuclass ResetPregStateReq(implicit p: Parameters) extends XSBundle { 27860deaca2SLinJiawei // NOTE: set isInt and isFp both to 'false' when invalid 27960deaca2SLinJiawei val isInt = Bool() 28060deaca2SLinJiawei val isFp = Bool() 28160deaca2SLinJiawei val preg = UInt(PhyRegIdxWidth.W) 2825844fcf0SLinJiawei} 2835844fcf0SLinJiawei 2842225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle { 28572235fa4SWilliam Wang val isMMIO = Bool() 2868635f18fSwangkaifan val isPerfCnt = Bool() 2878b91a337SWilliam Wang val paddr = UInt(PAddrBits.W) 28872951335SLi Qianruo val vaddr = UInt(VAddrBits.W) 289e402d94eSWilliam Wang} 2905844fcf0SLinJiawei 2912225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 29235bfeecbSYinan Xu val mtip = Input(Bool()) 29335bfeecbSYinan Xu val msip = Input(Bool()) 29435bfeecbSYinan Xu val meip = Input(Bool()) 295b3d79b37SYinan Xu val seip = Input(Bool()) 296d4aca96cSlqre val debug = Input(Bool()) 2975844fcf0SLinJiawei} 2985844fcf0SLinJiawei 2992225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle { 3003b739f49SXuan Hu val exception = Flipped(ValidIO(new DynInst)) 3013fa7b737SYinan Xu val isInterrupt = Input(Bool()) 30235bfeecbSYinan Xu val memExceptionVAddr = Input(UInt(VAddrBits.W)) 30335bfeecbSYinan Xu val trapTarget = Output(UInt(VAddrBits.W)) 30435bfeecbSYinan Xu val externalInterrupt = new ExternalInterruptIO 30535bfeecbSYinan Xu val interrupt = Output(Bool()) 30635bfeecbSYinan Xu} 30735bfeecbSYinan Xu 3089aca92b9SYinan Xuclass RobCommitInfo(implicit p: Parameters) extends XSBundle { 309a7a8a6ccSHaojin Tang val ldest = UInt(6.W) 310fe6452fcSYinan Xu val rfWen = Bool() 311fe6452fcSYinan Xu val fpWen = Bool() 312deb6421eSHaojin Tang val vecWen = Bool() 313a1fd7de4SLinJiawei val wflags = Bool() 314fe6452fcSYinan Xu val commitType = CommitType() 315fe6452fcSYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 316fe6452fcSYinan Xu val old_pdest = UInt(PhyRegIdxWidth.W) 317884dbb3bSLinJiawei val ftqIdx = new FtqPtr 318884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 319ccfddc82SHaojin Tang val isMove = Bool() 3205844fcf0SLinJiawei 3219ecac1e8SYinan Xu // these should be optimized for synthesis verilog 3229ecac1e8SYinan Xu val pc = UInt(VAddrBits.W) 3234aa9ed34Sfdy 3244aa9ed34Sfdy val uopIdx = UInt(5.W) 3253b739f49SXuan Hu// val vconfig = UInt(16.W) 326fe6452fcSYinan Xu} 3275844fcf0SLinJiawei 3289aca92b9SYinan Xuclass RobCommitIO(implicit p: Parameters) extends XSBundle { 329ccfddc82SHaojin Tang val isCommit = Bool() 330ccfddc82SHaojin Tang val commitValid = Vec(CommitWidth, Bool()) 3316474c47fSYinan Xu 332ccfddc82SHaojin Tang val isWalk = Bool() 333c51eab43SYinan Xu // valid bits optimized for walk 334ccfddc82SHaojin Tang val walkValid = Vec(CommitWidth, Bool()) 3356474c47fSYinan Xu 336ccfddc82SHaojin Tang val info = Vec(CommitWidth, new RobCommitInfo) 33721e7a6c5SYinan Xu 3386474c47fSYinan Xu def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR 3396474c47fSYinan Xu def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR 3405844fcf0SLinJiawei} 3415844fcf0SLinJiawei 3421b7adedcSWilliam Wangclass RSFeedback(implicit p: Parameters) extends XSBundle { 343*730cfbc0SXuan Hu val rsIdx = UInt(log2Up(IQSizeMax).W) 344037a131fSWilliam Wang val hit = Bool() 34562f57a35SLemover val flushState = Bool() 3461b7adedcSWilliam Wang val sourceType = RSFeedbackType() 347c7160cd3SWilliam Wang val dataInvalidSqIdx = new SqPtr 348037a131fSWilliam Wang} 349037a131fSWilliam Wang 350d87b76aaSWilliam Wangclass MemRSFeedbackIO(implicit p: Parameters) extends XSBundle { 351d87b76aaSWilliam Wang // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO 352d87b76aaSWilliam Wang // for instance: MemRSFeedbackIO()(updateP) 353d87b76aaSWilliam Wang val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss 354d87b76aaSWilliam Wang val feedbackFast = ValidIO(new RSFeedback()) // bank conflict 355d87b76aaSWilliam Wang} 356d87b76aaSWilliam Wang 357f06ca0bfSLingrui98class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle { 3585844fcf0SLinJiawei // to backend end 3595844fcf0SLinJiawei val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 360f06ca0bfSLingrui98 val fromFtq = new FtqToCtrlIO 3615844fcf0SLinJiawei // from backend 362f06ca0bfSLingrui98 val toFtq = Flipped(new CtrlToFtqIO) 3631e3fad10SLinJiawei} 364fcff7e94SZhangZifei 365f1fe8698SLemoverclass SatpStruct(implicit p: Parameters) extends XSBundle { 36645f497a4Shappy-lx val mode = UInt(4.W) 36745f497a4Shappy-lx val asid = UInt(16.W) 36845f497a4Shappy-lx val ppn = UInt(44.W) 36945f497a4Shappy-lx} 37045f497a4Shappy-lx 371f1fe8698SLemoverclass TlbSatpBundle(implicit p: Parameters) extends SatpStruct { 37245f497a4Shappy-lx val changed = Bool() 37345f497a4Shappy-lx 37445f497a4Shappy-lx def apply(satp_value: UInt): Unit = { 37545f497a4Shappy-lx require(satp_value.getWidth == XLEN) 37645f497a4Shappy-lx val sa = satp_value.asTypeOf(new SatpStruct) 37745f497a4Shappy-lx mode := sa.mode 37845f497a4Shappy-lx asid := sa.asid 379f1fe8698SLemover ppn := Cat(0.U(44-PAddrBits), sa.ppn(PAddrBits-1, 0)).asUInt() 38045f497a4Shappy-lx changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush 38145f497a4Shappy-lx } 382fcff7e94SZhangZifei} 383f1fe8698SLemover 384f1fe8698SLemoverclass TlbCsrBundle(implicit p: Parameters) extends XSBundle { 385f1fe8698SLemover val satp = new TlbSatpBundle() 386fcff7e94SZhangZifei val priv = new Bundle { 387fcff7e94SZhangZifei val mxr = Bool() 388fcff7e94SZhangZifei val sum = Bool() 389fcff7e94SZhangZifei val imode = UInt(2.W) 390fcff7e94SZhangZifei val dmode = UInt(2.W) 391fcff7e94SZhangZifei } 3928fc4e859SZhangZifei 3938fc4e859SZhangZifei override def toPrintable: Printable = { 3948fc4e859SZhangZifei p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 3958fc4e859SZhangZifei p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 3968fc4e859SZhangZifei } 397fcff7e94SZhangZifei} 398fcff7e94SZhangZifei 3992225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle { 400fcff7e94SZhangZifei val valid = Bool() 401fcff7e94SZhangZifei val bits = new Bundle { 402fcff7e94SZhangZifei val rs1 = Bool() 403fcff7e94SZhangZifei val rs2 = Bool() 404fcff7e94SZhangZifei val addr = UInt(VAddrBits.W) 40545f497a4Shappy-lx val asid = UInt(AsidLength.W) 406f1fe8698SLemover val flushPipe = Bool() 407fcff7e94SZhangZifei } 4088fc4e859SZhangZifei 4098fc4e859SZhangZifei override def toPrintable: Printable = { 410f1fe8698SLemover p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}" 4118fc4e859SZhangZifei } 412fcff7e94SZhangZifei} 413a165bd69Swangkaifan 414de169c67SWilliam Wang// Bundle for load violation predictor updating 415de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 4162b8b2e7aSWilliam Wang val valid = Bool() 417de169c67SWilliam Wang 418de169c67SWilliam Wang // wait table update 419de169c67SWilliam Wang val waddr = UInt(MemPredPCWidth.W) 4202b8b2e7aSWilliam Wang val wdata = Bool() // true.B by default 421de169c67SWilliam Wang 422de169c67SWilliam Wang // store set update 423de169c67SWilliam Wang // by default, ldpc/stpc should be xor folded 424de169c67SWilliam Wang val ldpc = UInt(MemPredPCWidth.W) 425de169c67SWilliam Wang val stpc = UInt(MemPredPCWidth.W) 4262b8b2e7aSWilliam Wang} 4272b8b2e7aSWilliam Wang 4282225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 4292b8b2e7aSWilliam Wang // Prefetcher 430ecccf78fSJay val l1I_pf_enable = Output(Bool()) 4312b8b2e7aSWilliam Wang val l2_pf_enable = Output(Bool()) 432ecccf78fSJay // ICache 433ecccf78fSJay val icache_parity_enable = Output(Bool()) 434f3f22d72SYinan Xu // Labeled XiangShan 4352b8b2e7aSWilliam Wang val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter 436f3f22d72SYinan Xu // Load violation predictor 4372b8b2e7aSWilliam Wang val lvpred_disable = Output(Bool()) 4382b8b2e7aSWilliam Wang val no_spec_load = Output(Bool()) 439c7160cd3SWilliam Wang val storeset_wait_store = Output(Bool()) 440c7160cd3SWilliam Wang val storeset_no_fast_wakeup = Output(Bool()) 441c7160cd3SWilliam Wang val lvpred_timeout = Output(UInt(5.W)) 442f3f22d72SYinan Xu // Branch predictor 4432b8b2e7aSWilliam Wang val bp_ctrl = Output(new BPUCtrl) 444f3f22d72SYinan Xu // Memory Block 445f3f22d72SYinan Xu val sbuffer_threshold = Output(UInt(4.W)) 446d10a581eSWilliam Wang val ldld_vio_check_enable = Output(Bool()) 447d10a581eSWilliam Wang val soft_prefetch_enable = Output(Bool()) 448a4e57ea3SLi Qianruo val cache_error_enable = Output(Bool()) 44937225120Ssfencevma val uncache_write_outstanding_enable = Output(Bool()) 450aac4464eSYinan Xu // Rename 4515b47c58cSYinan Xu val fusion_enable = Output(Bool()) 4525b47c58cSYinan Xu val wfi_enable = Output(Bool()) 453af2f7849Shappy-lx // Decode 454af2f7849Shappy-lx val svinval_enable = Output(Bool()) 455af2f7849Shappy-lx 456b6982e83SLemover // distribute csr write signal 457b6982e83SLemover val distribute_csr = new DistributedCSRIO() 45872951335SLi Qianruo 459ddb65c47SLi Qianruo val singlestep = Output(Bool()) 46072951335SLi Qianruo val frontend_trigger = new FrontendTdataDistributeIO() 46172951335SLi Qianruo val mem_trigger = new MemTdataDistributeIO() 46272951335SLi Qianruo val trigger_enable = Output(Vec(10, Bool())) 463b6982e83SLemover} 464b6982e83SLemover 465b6982e83SLemoverclass DistributedCSRIO(implicit p: Parameters) extends XSBundle { 4661c746d3aScui fliter // CSR has been written by csr inst, copies of csr should be updated 467b6982e83SLemover val w = ValidIO(new Bundle { 468b6982e83SLemover val addr = Output(UInt(12.W)) 469b6982e83SLemover val data = Output(UInt(XLEN.W)) 470b6982e83SLemover }) 4712b8b2e7aSWilliam Wang} 472e19f7967SWilliam Wang 473e19f7967SWilliam Wangclass DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle { 474e19f7967SWilliam Wang // Request csr to be updated 475e19f7967SWilliam Wang // 476e19f7967SWilliam Wang // Note that this request will ONLY update CSR Module it self, 477e19f7967SWilliam Wang // copies of csr will NOT be updated, use it with care! 478e19f7967SWilliam Wang // 479e19f7967SWilliam Wang // For each cycle, no more than 1 DistributedCSRUpdateReq is valid 480e19f7967SWilliam Wang val w = ValidIO(new Bundle { 481e19f7967SWilliam Wang val addr = Output(UInt(12.W)) 482e19f7967SWilliam Wang val data = Output(UInt(XLEN.W)) 483e19f7967SWilliam Wang }) 484e19f7967SWilliam Wang def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = { 485e19f7967SWilliam Wang when(valid){ 486e19f7967SWilliam Wang w.bits.addr := addr 487e19f7967SWilliam Wang w.bits.data := data 488e19f7967SWilliam Wang } 489e19f7967SWilliam Wang println("Distributed CSR update req registered for " + src_description) 490e19f7967SWilliam Wang } 491e19f7967SWilliam Wang} 49272951335SLi Qianruo 4930f59c834SWilliam Wangclass L1CacheErrorInfo(implicit p: Parameters) extends XSBundle { 4940f59c834SWilliam Wang // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR 4950f59c834SWilliam Wang val source = Output(new Bundle() { 4960f59c834SWilliam Wang val tag = Bool() // l1 tag array 4970f59c834SWilliam Wang val data = Bool() // l1 data array 4980f59c834SWilliam Wang val l2 = Bool() 4990f59c834SWilliam Wang }) 5000f59c834SWilliam Wang val opType = Output(new Bundle() { 5010f59c834SWilliam Wang val fetch = Bool() 5020f59c834SWilliam Wang val load = Bool() 5030f59c834SWilliam Wang val store = Bool() 5040f59c834SWilliam Wang val probe = Bool() 5050f59c834SWilliam Wang val release = Bool() 5060f59c834SWilliam Wang val atom = Bool() 5070f59c834SWilliam Wang }) 5080f59c834SWilliam Wang val paddr = Output(UInt(PAddrBits.W)) 5090f59c834SWilliam Wang 5100f59c834SWilliam Wang // report error and paddr to beu 5110f59c834SWilliam Wang // bus error unit will receive error info iff ecc_error.valid 5120f59c834SWilliam Wang val report_to_beu = Output(Bool()) 5130f59c834SWilliam Wang 5140f59c834SWilliam Wang // there is an valid error 5150f59c834SWilliam Wang // l1 cache error will always be report to CACHE_ERROR csr 5160f59c834SWilliam Wang val valid = Output(Bool()) 5170f59c834SWilliam Wang 5180f59c834SWilliam Wang def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = { 5190f59c834SWilliam Wang val beu_info = Wire(new L1BusErrorUnitInfo) 5200f59c834SWilliam Wang beu_info.ecc_error.valid := report_to_beu 5210f59c834SWilliam Wang beu_info.ecc_error.bits := paddr 5220f59c834SWilliam Wang beu_info 5230f59c834SWilliam Wang } 5240f59c834SWilliam Wang} 525bc63e578SLi Qianruo 526bc63e578SLi Qianruo/* TODO how to trigger on next inst? 527bc63e578SLi Qianruo1. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep 528bc63e578SLi Qianruo2. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set 529bc63e578SLi Qianruoxret csr to pc + 4/ + 2 530bc63e578SLi Qianruo2.5 The problem is to let it commit. This is the real TODO 531bc63e578SLi Qianruo3. If it is load and hit before just treat it as regular load exception 532bc63e578SLi Qianruo */ 533bc63e578SLi Qianruo 534bc63e578SLi Qianruo// This bundle carries trigger hit info along the pipeline 535bc63e578SLi Qianruo// Now there are 10 triggers divided into 5 groups of 2 536bc63e578SLi Qianruo// These groups are 537bc63e578SLi Qianruo// (if if) (store store) (load loid) (if store) (if load) 538bc63e578SLi Qianruo 539bc63e578SLi Qianruo// Triggers in the same group can chain, meaning that they only 540bc63e578SLi Qianruo// fire is both triggers in the group matches (the triggerHitVec bit is asserted) 541bc63e578SLi Qianruo// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i) 542bc63e578SLi Qianruo// Timing of 0 means trap at current inst, 1 means trap at next inst 543bc63e578SLi Qianruo// Chaining and timing and the validness of a trigger is controlled by csr 544bc63e578SLi Qianruo// In two chained triggers, if they have different timing, both won't fire 54584e47f35SLi Qianruo//class TriggerCf (implicit p: Parameters) extends XSBundle { 54684e47f35SLi Qianruo// val triggerHitVec = Vec(10, Bool()) 54784e47f35SLi Qianruo// val triggerTiming = Vec(10, Bool()) 54884e47f35SLi Qianruo// val triggerChainVec = Vec(5, Bool()) 54984e47f35SLi Qianruo//} 55084e47f35SLi Qianruo 55172951335SLi Qianruoclass TriggerCf(implicit p: Parameters) extends XSBundle { 55284e47f35SLi Qianruo // frontend 55384e47f35SLi Qianruo val frontendHit = Vec(4, Bool()) 554ddb65c47SLi Qianruo// val frontendTiming = Vec(4, Bool()) 555ddb65c47SLi Qianruo// val frontendHitNext = Vec(4, Bool()) 55684e47f35SLi Qianruo 557ddb65c47SLi Qianruo// val frontendException = Bool() 55884e47f35SLi Qianruo // backend 55984e47f35SLi Qianruo val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4) 56084e47f35SLi Qianruo val backendHit = Vec(6, Bool()) 561ddb65c47SLi Qianruo// val backendTiming = Vec(6, Bool()) // trigger enable fro chain 56284e47f35SLi Qianruo 56384e47f35SLi Qianruo // Two situations not allowed: 56484e47f35SLi Qianruo // 1. load data comparison 56584e47f35SLi Qianruo // 2. store chaining with store 56684e47f35SLi Qianruo def getHitFrontend = frontendHit.reduce(_ || _) 56784e47f35SLi Qianruo def getHitBackend = backendHit.reduce(_ || _) 568ddb65c47SLi Qianruo def hit = getHitFrontend || getHitBackend 569d7dd1af1SLi Qianruo def clear(): Unit = { 570d7dd1af1SLi Qianruo frontendHit.foreach(_ := false.B) 571d7dd1af1SLi Qianruo backendEn.foreach(_ := false.B) 572d7dd1af1SLi Qianruo backendHit.foreach(_ := false.B) 573d7dd1af1SLi Qianruo } 57472951335SLi Qianruo} 57572951335SLi Qianruo 576bc63e578SLi Qianruo// these 3 bundles help distribute trigger control signals from CSR 577bc63e578SLi Qianruo// to Frontend, Load and Store. 57872951335SLi Qianruoclass FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle { 57972951335SLi Qianruo val t = Valid(new Bundle { 58072951335SLi Qianruo val addr = Output(UInt(2.W)) 58172951335SLi Qianruo val tdata = new MatchTriggerIO 58272951335SLi Qianruo }) 58372951335SLi Qianruo } 58472951335SLi Qianruo 58572951335SLi Qianruoclass MemTdataDistributeIO(implicit p: Parameters) extends XSBundle { 58672951335SLi Qianruo val t = Valid(new Bundle { 58772951335SLi Qianruo val addr = Output(UInt(3.W)) 58872951335SLi Qianruo val tdata = new MatchTriggerIO 58972951335SLi Qianruo }) 59072951335SLi Qianruo} 59172951335SLi Qianruo 59272951335SLi Qianruoclass MatchTriggerIO(implicit p: Parameters) extends XSBundle { 59372951335SLi Qianruo val matchType = Output(UInt(2.W)) 59472951335SLi Qianruo val select = Output(Bool()) 59572951335SLi Qianruo val timing = Output(Bool()) 59672951335SLi Qianruo val action = Output(Bool()) 59772951335SLi Qianruo val chain = Output(Bool()) 59872951335SLi Qianruo val tdata2 = Output(UInt(64.W)) 59972951335SLi Qianruo} 600