1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 171e3fad10SLinJiaweipackage xiangshan 181e3fad10SLinJiawei 193b739f49SXuan Huimport chipsalliance.rocketchip.config.Parameters 201e3fad10SLinJiaweiimport chisel3._ 213b739f49SXuan Huimport chisel3.util.BitPat.bitPatToUInt 225844fcf0SLinJiaweiimport chisel3.util._ 233b739f49SXuan Huimport utility._ 243b739f49SXuan Huimport utils._ 25f06ca0bfSLingrui98import xiangshan.backend.CtrlToFtqIO 26de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode} 273b739f49SXuan Huimport xiangshan.backend.rob.RobPtr 283b739f49SXuan Huimport xiangshan.frontend._ 295c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr} 303b739f49SXuan Huimport xiangshan.v2backend.Bundles.DynInst 313b739f49SXuan Huimport xiangshan.v2backend.FuType 321e3fad10SLinJiawei 33627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle { 343803411bSzhanglinjuan val valid = Bool() 3535fe60e8SLingrui98 val bits = gen.cloneType.asInstanceOf[T] 36fe211d16SLinJiawei 373803411bSzhanglinjuan} 383803411bSzhanglinjuan 39627c0a19Szhanglinjuanobject ValidUndirectioned { 40627c0a19Szhanglinjuan def apply[T <: Data](gen: T) = { 41627c0a19Szhanglinjuan new ValidUndirectioned[T](gen) 423803411bSzhanglinjuan } 433803411bSzhanglinjuan} 443803411bSzhanglinjuan 451b7adedcSWilliam Wangobject RSFeedbackType { 4667682d05SWilliam Wang val tlbMiss = 0.U(3.W) 4767682d05SWilliam Wang val mshrFull = 1.U(3.W) 4867682d05SWilliam Wang val dataInvalid = 2.U(3.W) 4967682d05SWilliam Wang val bankConflict = 3.U(3.W) 5067682d05SWilliam Wang val ldVioCheckRedo = 4.U(3.W) 51141a6449SXuan Hu val readRfSuccess = 6.U(3.W) 52eb163ef0SHaojin Tang val feedbackInvalid = 7.U(3.W) 53eb163ef0SHaojin Tang 5467682d05SWilliam Wang def apply() = UInt(3.W) 55*61d88ec2SXuan Hu 56*61d88ec2SXuan Hu def isStageSuccess(feedbackType: UInt) = { 57*61d88ec2SXuan Hu feedbackType === readRfSuccess 58*61d88ec2SXuan Hu } 591b7adedcSWilliam Wang} 601b7adedcSWilliam Wang 612225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle { 62097c2688SLingrui98 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 63097c2688SLingrui98 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 64097c2688SLingrui98 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 6551b2a476Szoujr} 6651b2a476Szoujr 672225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 68f226232fSzhanglinjuan // from backend 6969cafcc9SLingrui98 val pc = UInt(VAddrBits.W) 70f226232fSzhanglinjuan // frontend -> backend -> frontend 71f226232fSzhanglinjuan val pd = new PreDecodeInfo 728a5e9243SLinJiawei val rasSp = UInt(log2Up(RasSize).W) 732e947747SLinJiawei val rasEntry = new RASEntry 74c2ad24ebSLingrui98 // val hist = new ShiftingGlobalHistory 75dd6c0695SLingrui98 val folded_hist = new AllFoldedHistories(foldedGHistInfos) 7667402d75SLingrui98 val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos) 7767402d75SLingrui98 val lastBrNumOH = UInt((numBr+1).W) 78b37e4b45SLingrui98 val ghr = UInt(UbtbGHRLength.W) 79c2ad24ebSLingrui98 val histPtr = new CGHPtr 80e7b046c5Szoujr val specCnt = Vec(numBr, UInt(10.W)) 81fe3a74fcSYinan Xu // need pipeline update 828a597714Szoujr val br_hit = Bool() 832e947747SLinJiawei val predTaken = Bool() 84b2e6921eSLinJiawei val target = UInt(VAddrBits.W) 859a2e6b8aSLinJiawei val taken = Bool() 86b2e6921eSLinJiawei val isMisPred = Bool() 87d0527adfSzoujr val shift = UInt((log2Ceil(numBr)+1).W) 88d0527adfSzoujr val addIntoHist = Bool() 8914a6653fSLingrui98 9014a6653fSLingrui98 def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = { 91c2ad24ebSLingrui98 // this.hist := entry.ghist 92dd6c0695SLingrui98 this.folded_hist := entry.folded_hist 9367402d75SLingrui98 this.lastBrNumOH := entry.lastBrNumOH 9467402d75SLingrui98 this.afhob := entry.afhob 95c2ad24ebSLingrui98 this.histPtr := entry.histPtr 9614a6653fSLingrui98 this.rasSp := entry.rasSp 97c2d1ec7dSLingrui98 this.rasEntry := entry.rasTop 9814a6653fSLingrui98 this 9914a6653fSLingrui98 } 100b2e6921eSLinJiawei} 101b2e6921eSLinJiawei 1025844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer 103de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle { 1045844fcf0SLinJiawei val instr = UInt(32.W) 1055844fcf0SLinJiawei val pc = UInt(VAddrBits.W) 106de169c67SWilliam Wang val foldpc = UInt(MemPredPCWidth.W) 107baf8def6SYinan Xu val exceptionVec = ExceptionVec() 10872951335SLi Qianruo val trigger = new TriggerCf 109faf3cfa9SLinJiawei val pd = new PreDecodeInfo 110cde9280dSLinJiawei val pred_taken = Bool() 111c84054caSLinJiawei val crossPageIPFFix = Bool() 112de169c67SWilliam Wang val storeSetHit = Bool() // inst has been allocated an store set 113980c1bc3SWilliam Wang val waitForRobIdx = new RobPtr // store set predicted previous store robIdx 114d1fe0262SWilliam Wang // Load wait is needed 115d1fe0262SWilliam Wang // load inst will not be executed until former store (predicted by mdp) addr calcuated 116d1fe0262SWilliam Wang val loadWaitBit = Bool() 117d1fe0262SWilliam Wang // If (loadWaitBit && loadWaitStrict), strict load wait is needed 118d1fe0262SWilliam Wang // load inst will not be executed until ALL former store addr calcuated 119d1fe0262SWilliam Wang val loadWaitStrict = Bool() 120de169c67SWilliam Wang val ssid = UInt(SSIDWidth.W) 121884dbb3bSLinJiawei val ftqPtr = new FtqPtr 122884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 1235844fcf0SLinJiawei} 1245844fcf0SLinJiawei 12572951335SLi Qianruo 1262225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 1272ce29ed6SLinJiawei val isAddSub = Bool() // swap23 128dc597826SJiawei Lin val typeTagIn = UInt(1.W) 129dc597826SJiawei Lin val typeTagOut = UInt(1.W) 1302ce29ed6SLinJiawei val fromInt = Bool() 1312ce29ed6SLinJiawei val wflags = Bool() 1322ce29ed6SLinJiawei val fpWen = Bool() 1332ce29ed6SLinJiawei val fmaCmd = UInt(2.W) 1342ce29ed6SLinJiawei val div = Bool() 1352ce29ed6SLinJiawei val sqrt = Bool() 1362ce29ed6SLinJiawei val fcvt = Bool() 1372ce29ed6SLinJiawei val typ = UInt(2.W) 1382ce29ed6SLinJiawei val fmt = UInt(2.W) 1392ce29ed6SLinJiawei val ren3 = Bool() //TODO: remove SrcType.fp 140e6c6b64fSLinJiawei val rm = UInt(3.W) 141579b9f28SLinJiawei} 142579b9f28SLinJiawei 1435844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage 1442225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle { 145a7a8a6ccSHaojin Tang val srcType = Vec(4, SrcType()) 146a7a8a6ccSHaojin Tang val lsrc = Vec(4, UInt(6.W)) 147a7a8a6ccSHaojin Tang val ldest = UInt(6.W) 1489a2e6b8aSLinJiawei val fuType = FuType() 1499a2e6b8aSLinJiawei val fuOpType = FuOpType() 1509a2e6b8aSLinJiawei val rfWen = Bool() 1519a2e6b8aSLinJiawei val fpWen = Bool() 152deb6421eSHaojin Tang val vecWen = Bool() 1539a2e6b8aSLinJiawei val isXSTrap = Bool() 1542d366136SLinJiawei val noSpecExec = Bool() // wait forward 1552d366136SLinJiawei val blockBackward = Bool() // block backward 15645a56a29SZhangZifei val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 157c2a8ae00SYikeZhou val selImm = SelImm() 158b0ae3ac4SLinJiawei val imm = UInt(ImmUnion.maxLen.W) 159a3edac52SYinan Xu val commitType = CommitType() 160579b9f28SLinJiawei val fpu = new FPUCtrlSignals 1614aa9ed34Sfdy val uopIdx = UInt(5.W) 1624aa9ed34Sfdy val vconfig = UInt(16.W) 163aac4464eSYinan Xu val isMove = Bool() 164d4aca96cSlqre val singleStep = Bool() 165c88c3a2aSYinan Xu // This inst will flush all the pipe when it is the oldest inst in ROB, 166c88c3a2aSYinan Xu // then replay from this inst itself 167c88c3a2aSYinan Xu val replayInst = Bool() 168be25371aSYikeZhou 16957a10886SXuan Hu private def allSignals = srcType.take(3) ++ Seq(fuType, fuOpType, rfWen, fpWen, vecWen, 1706e7c9679Shuxuan0307 isXSTrap, noSpecExec, blockBackward, flushPipe, selImm) 17188825c5cSYinan Xu 17288825c5cSYinan Xu def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = { 17357a10886SXuan Hu val decoder: Seq[UInt] = ListLookup( 17457a10886SXuan Hu inst, XDecode.decodeDefault.map(bitPatToUInt), 17557a10886SXuan Hu table.map{ case (pat, pats) => (pat, pats.map(bitPatToUInt)) }.toArray 17657a10886SXuan Hu ) 17788825c5cSYinan Xu allSignals zip decoder foreach { case (s, d) => s := d } 1784d24c305SYikeZhou commitType := DontCare 179be25371aSYikeZhou this 180be25371aSYikeZhou } 18188825c5cSYinan Xu 18288825c5cSYinan Xu def decode(bit: List[BitPat]): CtrlSignals = { 18388825c5cSYinan Xu allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d } 18488825c5cSYinan Xu this 18588825c5cSYinan Xu } 186b6900d94SYinan Xu 1873b739f49SXuan Hu def isWFI: Bool = fuType === FuType.csr.U && fuOpType === CSROpType.wfi 188f025d715SYinan Xu def isSoftPrefetch: Bool = { 1893b739f49SXuan Hu fuType === FuType.alu.U && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U 190f025d715SYinan Xu } 1915844fcf0SLinJiawei} 1925844fcf0SLinJiawei 1932225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle { 1945844fcf0SLinJiawei val cf = new CtrlFlow 1955844fcf0SLinJiawei val ctrl = new CtrlSignals 1965844fcf0SLinJiawei} 1975844fcf0SLinJiawei 1982225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle { 1998b8e745dSYikeZhou val eliminatedMove = Bool() 200ba4100caSYinan Xu // val fetchTime = UInt(64.W) 201ebb8ebf8SYinan Xu val renameTime = UInt(XLEN.W) 202ebb8ebf8SYinan Xu val dispatchTime = UInt(XLEN.W) 203ebb8ebf8SYinan Xu val enqRsTime = UInt(XLEN.W) 204ebb8ebf8SYinan Xu val selectTime = UInt(XLEN.W) 205ebb8ebf8SYinan Xu val issueTime = UInt(XLEN.W) 206ebb8ebf8SYinan Xu val writebackTime = UInt(XLEN.W) 2077cef916fSYinan Xu // val commitTime = UInt(64.W) 20820edb3f7SWilliam Wang val runahead_checkpoint_id = UInt(64.W) 209ba4100caSYinan Xu} 210ba4100caSYinan Xu 21148d1472eSWilliam Wang// Separate LSQ 2122225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle { 213915c0dd4SYinan Xu val lqIdx = new LqPtr 2145c1ae31bSYinan Xu val sqIdx = new SqPtr 21524726fbfSWilliam Wang} 21624726fbfSWilliam Wang 217b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage 2182225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl { 219a7a8a6ccSHaojin Tang val srcState = Vec(4, SrcState()) 220a7a8a6ccSHaojin Tang val psrc = Vec(4, UInt(PhyRegIdxWidth.W)) 22120e31bd1SYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 22220e31bd1SYinan Xu val old_pdest = UInt(PhyRegIdxWidth.W) 2239aca92b9SYinan Xu val robIdx = new RobPtr 224fe6452fcSYinan Xu val lqIdx = new LqPtr 225fe6452fcSYinan Xu val sqIdx = new SqPtr 2268b8e745dSYikeZhou val eliminatedMove = Bool() 2277cef916fSYinan Xu val debugInfo = new PerfDebugInfo 2289d4e1137SYinan Xu def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = { 229bcce877bSYinan Xu val stateReady = srcState(index) === SrcState.rdy || ignoreState.B 230bcce877bSYinan Xu val readReg = if (isFp) { 231bcce877bSYinan Xu ctrl.srcType(index) === SrcType.fp 232bcce877bSYinan Xu } else { 233bcce877bSYinan Xu ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U 234a338f247SYinan Xu } 235bcce877bSYinan Xu readReg && stateReady 236a338f247SYinan Xu } 2375c7674feSYinan Xu def srcIsReady: Vec[Bool] = { 238c9ebdf90SYinan Xu VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy }) 2395c7674feSYinan Xu } 2406ab6918fSYinan Xu def clearExceptions( 2416ab6918fSYinan Xu exceptionBits: Seq[Int] = Seq(), 2426ab6918fSYinan Xu flushPipe: Boolean = false, 2436ab6918fSYinan Xu replayInst: Boolean = false 2446ab6918fSYinan Xu ): MicroOp = { 2456ab6918fSYinan Xu cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B) 2466ab6918fSYinan Xu if (!flushPipe) { ctrl.flushPipe := false.B } 2476ab6918fSYinan Xu if (!replayInst) { ctrl.replayInst := false.B } 248c88c3a2aSYinan Xu this 249c88c3a2aSYinan Xu } 2503b739f49SXuan Hu// // Assume only the LUI instruction is decoded with IMM_U in ALU. 2513b739f49SXuan Hu// def isLUI: Bool = ctrl.selImm === SelImm.IMM_U && ctrl.fuType === FuType.alu 2523b739f49SXuan Hu// // This MicroOp is used to wakeup another uop (the successor: (psrc, srcType). 2533b739f49SXuan Hu// def wakeup(successor: Seq[(UInt, UInt)], exuCfg: ExuConfig): Seq[(Bool, Bool)] = { 2543b739f49SXuan Hu// successor.map{ case (src, srcType) => 2553b739f49SXuan Hu// val pdestMatch = pdest === src 2563b739f49SXuan Hu// // For state: no need to check whether src is x0/imm/pc because they are always ready. 2573b739f49SXuan Hu// val rfStateMatch = if (exuCfg.readIntRf) ctrl.rfWen else false.B 2583b739f49SXuan Hu// val fpMatch = if (exuCfg.readFpRf) ctrl.fpWen else false.B 2593b739f49SXuan Hu// val bothIntFp = exuCfg.readIntRf && exuCfg.readFpRf 2603b739f49SXuan Hu// val bothStateMatch = Mux(SrcType.isFp(srcType), fpMatch, rfStateMatch) 2613b739f49SXuan Hu// val stateCond = pdestMatch && (if (bothIntFp) bothStateMatch else rfStateMatch || fpMatch) 2623b739f49SXuan Hu// // For data: types are matched and int pdest is not $zero. 2633b739f49SXuan Hu// val rfDataMatch = if (exuCfg.readIntRf) ctrl.rfWen && src =/= 0.U else false.B 2643b739f49SXuan Hu// val dataCond = pdestMatch && (rfDataMatch && SrcType.isReg(srcType) || fpMatch && SrcType.isFp(srcType)) 2653b739f49SXuan Hu// (stateCond, dataCond) 2663b739f49SXuan Hu// } 2673b739f49SXuan Hu// } 2683b739f49SXuan Hu// // This MicroOp is used to wakeup another uop (the successor: MicroOp). 2693b739f49SXuan Hu// def wakeup(successor: MicroOp, exuCfg: ExuConfig): Seq[(Bool, Bool)] = { 2703b739f49SXuan Hu// wakeup(successor.psrc.zip(successor.ctrl.srcType), exuCfg) 2713b739f49SXuan Hu// } 2723b739f49SXuan Hu// def isJump: Bool = FuType.isJumpExu(ctrl.fuType) 2735844fcf0SLinJiawei} 2745844fcf0SLinJiawei 2753b739f49SXuan Hu//class XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle { 2763b739f49SXuan Hu// val uop = new MicroOp 2773b739f49SXuan Hu//} 27846f74b57SHaojin Tang 2793b739f49SXuan Hu//class MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp { 2803b739f49SXuan Hu// val flag = UInt(1.W) 2813b739f49SXuan Hu//} 282de169c67SWilliam Wang 2832225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle { 2849aca92b9SYinan Xu val robIdx = new RobPtr 28536d7aed5SLinJiawei val ftqIdx = new FtqPtr 28636d7aed5SLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 287bfb958a3SYinan Xu val level = RedirectLevel() 288bfb958a3SYinan Xu val interrupt = Bool() 289c778d2afSLinJiawei val cfiUpdate = new CfiUpdateInfo 290bfb958a3SYinan Xu 291de169c67SWilliam Wang val stFtqIdx = new FtqPtr // for load violation predict 292de169c67SWilliam Wang val stFtqOffset = UInt(log2Up(PredictWidth).W) 293fe211d16SLinJiawei 29420edb3f7SWilliam Wang val debug_runahead_checkpoint_id = UInt(64.W) 29520edb3f7SWilliam Wang 2962d7c7105SYinan Xu // def isUnconditional() = RedirectLevel.isUnconditional(level) 297bfb958a3SYinan Xu def flushItself() = RedirectLevel.flushItself(level) 2982d7c7105SYinan Xu // def isException() = RedirectLevel.isException(level) 299a25b1bceSLinJiawei} 300a25b1bceSLinJiawei 3012b4e8253SYinan Xuclass ResetPregStateReq(implicit p: Parameters) extends XSBundle { 30260deaca2SLinJiawei // NOTE: set isInt and isFp both to 'false' when invalid 30360deaca2SLinJiawei val isInt = Bool() 30460deaca2SLinJiawei val isFp = Bool() 30560deaca2SLinJiawei val preg = UInt(PhyRegIdxWidth.W) 3065844fcf0SLinJiawei} 3075844fcf0SLinJiawei 3082225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle { 30972235fa4SWilliam Wang val isMMIO = Bool() 3108635f18fSwangkaifan val isPerfCnt = Bool() 3118b91a337SWilliam Wang val paddr = UInt(PAddrBits.W) 31272951335SLi Qianruo val vaddr = UInt(VAddrBits.W) 313e402d94eSWilliam Wang} 3145844fcf0SLinJiawei 3153b739f49SXuan Hu//class ExuInput(isVpu: Boolean = false)(implicit p: Parameters) extends XSBundleWithMicroOp { 3163b739f49SXuan Hu// val dataWidth = if (isVpu) VLEN else XLEN 3173b739f49SXuan Hu// 3183b739f49SXuan Hu// val src = Vec(3, UInt(dataWidth.W)) 3193b739f49SXuan Hu//} 32040a70bd6SZhangZifei 3213b739f49SXuan Hu//class ExuOutput(isVpu: Boolean = false)(implicit p: Parameters) extends XSBundleWithMicroOp { 3223b739f49SXuan Hu// val dataWidth = if (isVpu) VLEN else XLEN 3233b739f49SXuan Hu// 3243b739f49SXuan Hu// val data = UInt(dataWidth.W) 3253b739f49SXuan Hu// val fflags = UInt(5.W) 3263b739f49SXuan Hu// val redirectValid = Bool() 3273b739f49SXuan Hu// val redirect = new Redirect 3283b739f49SXuan Hu// val debug = new DebugBundle 3293b739f49SXuan Hu//} 3305844fcf0SLinJiawei 3312225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 33235bfeecbSYinan Xu val mtip = Input(Bool()) 33335bfeecbSYinan Xu val msip = Input(Bool()) 33435bfeecbSYinan Xu val meip = Input(Bool()) 335b3d79b37SYinan Xu val seip = Input(Bool()) 336d4aca96cSlqre val debug = Input(Bool()) 3375844fcf0SLinJiawei} 3385844fcf0SLinJiawei 3392225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle { 3403b739f49SXuan Hu val exception = Flipped(ValidIO(new DynInst)) 3413fa7b737SYinan Xu val isInterrupt = Input(Bool()) 34235bfeecbSYinan Xu val memExceptionVAddr = Input(UInt(VAddrBits.W)) 34335bfeecbSYinan Xu val trapTarget = Output(UInt(VAddrBits.W)) 34435bfeecbSYinan Xu val externalInterrupt = new ExternalInterruptIO 34535bfeecbSYinan Xu val interrupt = Output(Bool()) 34635bfeecbSYinan Xu} 34735bfeecbSYinan Xu 3483b739f49SXuan Hu//class ExceptionInfo(implicit p: Parameters) extends XSBundleWithMicroOp { 3493b739f49SXuan Hu// val isInterrupt = Bool() 3503b739f49SXuan Hu//} 3513a474d38SYinan Xu 3529aca92b9SYinan Xuclass RobCommitInfo(implicit p: Parameters) extends XSBundle { 353a7a8a6ccSHaojin Tang val ldest = UInt(6.W) 354fe6452fcSYinan Xu val rfWen = Bool() 355fe6452fcSYinan Xu val fpWen = Bool() 356deb6421eSHaojin Tang val vecWen = Bool() 357a1fd7de4SLinJiawei val wflags = Bool() 358fe6452fcSYinan Xu val commitType = CommitType() 359fe6452fcSYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 360fe6452fcSYinan Xu val old_pdest = UInt(PhyRegIdxWidth.W) 361884dbb3bSLinJiawei val ftqIdx = new FtqPtr 362884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 363ccfddc82SHaojin Tang val isMove = Bool() 3645844fcf0SLinJiawei 3659ecac1e8SYinan Xu // these should be optimized for synthesis verilog 3669ecac1e8SYinan Xu val pc = UInt(VAddrBits.W) 3674aa9ed34Sfdy 3684aa9ed34Sfdy val uopIdx = UInt(5.W) 3693b739f49SXuan Hu// val vconfig = UInt(16.W) 370fe6452fcSYinan Xu} 3715844fcf0SLinJiawei 3729aca92b9SYinan Xuclass RobCommitIO(implicit p: Parameters) extends XSBundle { 373ccfddc82SHaojin Tang val isCommit = Bool() 374ccfddc82SHaojin Tang val commitValid = Vec(CommitWidth, Bool()) 3756474c47fSYinan Xu 376ccfddc82SHaojin Tang val isWalk = Bool() 377c51eab43SYinan Xu // valid bits optimized for walk 378ccfddc82SHaojin Tang val walkValid = Vec(CommitWidth, Bool()) 3796474c47fSYinan Xu 380ccfddc82SHaojin Tang val info = Vec(CommitWidth, new RobCommitInfo) 38121e7a6c5SYinan Xu 3826474c47fSYinan Xu def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR 3836474c47fSYinan Xu def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR 3845844fcf0SLinJiawei} 3855844fcf0SLinJiawei 3861b7adedcSWilliam Wangclass RSFeedback(implicit p: Parameters) extends XSBundle { 38764e8d8bdSZhangZifei val rsIdx = UInt(log2Up(IssQueSize).W) 388037a131fSWilliam Wang val hit = Bool() 38962f57a35SLemover val flushState = Bool() 3901b7adedcSWilliam Wang val sourceType = RSFeedbackType() 391c7160cd3SWilliam Wang val dataInvalidSqIdx = new SqPtr 392037a131fSWilliam Wang} 393037a131fSWilliam Wang 394d87b76aaSWilliam Wangclass MemRSFeedbackIO(implicit p: Parameters) extends XSBundle { 395d87b76aaSWilliam Wang // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO 396d87b76aaSWilliam Wang // for instance: MemRSFeedbackIO()(updateP) 397d87b76aaSWilliam Wang val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss 398d87b76aaSWilliam Wang val feedbackFast = ValidIO(new RSFeedback()) // bank conflict 399d87b76aaSWilliam Wang} 400d87b76aaSWilliam Wang 401f06ca0bfSLingrui98class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle { 4025844fcf0SLinJiawei // to backend end 4035844fcf0SLinJiawei val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 404f06ca0bfSLingrui98 val fromFtq = new FtqToCtrlIO 4055844fcf0SLinJiawei // from backend 406f06ca0bfSLingrui98 val toFtq = Flipped(new CtrlToFtqIO) 4071e3fad10SLinJiawei} 408fcff7e94SZhangZifei 409f1fe8698SLemoverclass SatpStruct(implicit p: Parameters) extends XSBundle { 41045f497a4Shappy-lx val mode = UInt(4.W) 41145f497a4Shappy-lx val asid = UInt(16.W) 41245f497a4Shappy-lx val ppn = UInt(44.W) 41345f497a4Shappy-lx} 41445f497a4Shappy-lx 415f1fe8698SLemoverclass TlbSatpBundle(implicit p: Parameters) extends SatpStruct { 41645f497a4Shappy-lx val changed = Bool() 41745f497a4Shappy-lx 41845f497a4Shappy-lx def apply(satp_value: UInt): Unit = { 41945f497a4Shappy-lx require(satp_value.getWidth == XLEN) 42045f497a4Shappy-lx val sa = satp_value.asTypeOf(new SatpStruct) 42145f497a4Shappy-lx mode := sa.mode 42245f497a4Shappy-lx asid := sa.asid 423f1fe8698SLemover ppn := Cat(0.U(44-PAddrBits), sa.ppn(PAddrBits-1, 0)).asUInt() 42445f497a4Shappy-lx changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush 42545f497a4Shappy-lx } 426fcff7e94SZhangZifei} 427f1fe8698SLemover 428f1fe8698SLemoverclass TlbCsrBundle(implicit p: Parameters) extends XSBundle { 429f1fe8698SLemover val satp = new TlbSatpBundle() 430fcff7e94SZhangZifei val priv = new Bundle { 431fcff7e94SZhangZifei val mxr = Bool() 432fcff7e94SZhangZifei val sum = Bool() 433fcff7e94SZhangZifei val imode = UInt(2.W) 434fcff7e94SZhangZifei val dmode = UInt(2.W) 435fcff7e94SZhangZifei } 4368fc4e859SZhangZifei 4378fc4e859SZhangZifei override def toPrintable: Printable = { 4388fc4e859SZhangZifei p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 4398fc4e859SZhangZifei p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 4408fc4e859SZhangZifei } 441fcff7e94SZhangZifei} 442fcff7e94SZhangZifei 4432225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle { 444fcff7e94SZhangZifei val valid = Bool() 445fcff7e94SZhangZifei val bits = new Bundle { 446fcff7e94SZhangZifei val rs1 = Bool() 447fcff7e94SZhangZifei val rs2 = Bool() 448fcff7e94SZhangZifei val addr = UInt(VAddrBits.W) 44945f497a4Shappy-lx val asid = UInt(AsidLength.W) 450f1fe8698SLemover val flushPipe = Bool() 451fcff7e94SZhangZifei } 4528fc4e859SZhangZifei 4538fc4e859SZhangZifei override def toPrintable: Printable = { 454f1fe8698SLemover p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}" 4558fc4e859SZhangZifei } 456fcff7e94SZhangZifei} 457a165bd69Swangkaifan 458de169c67SWilliam Wang// Bundle for load violation predictor updating 459de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 4602b8b2e7aSWilliam Wang val valid = Bool() 461de169c67SWilliam Wang 462de169c67SWilliam Wang // wait table update 463de169c67SWilliam Wang val waddr = UInt(MemPredPCWidth.W) 4642b8b2e7aSWilliam Wang val wdata = Bool() // true.B by default 465de169c67SWilliam Wang 466de169c67SWilliam Wang // store set update 467de169c67SWilliam Wang // by default, ldpc/stpc should be xor folded 468de169c67SWilliam Wang val ldpc = UInt(MemPredPCWidth.W) 469de169c67SWilliam Wang val stpc = UInt(MemPredPCWidth.W) 4702b8b2e7aSWilliam Wang} 4712b8b2e7aSWilliam Wang 4722225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 4732b8b2e7aSWilliam Wang // Prefetcher 474ecccf78fSJay val l1I_pf_enable = Output(Bool()) 4752b8b2e7aSWilliam Wang val l2_pf_enable = Output(Bool()) 476ecccf78fSJay // ICache 477ecccf78fSJay val icache_parity_enable = Output(Bool()) 478f3f22d72SYinan Xu // Labeled XiangShan 4792b8b2e7aSWilliam Wang val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter 480f3f22d72SYinan Xu // Load violation predictor 4812b8b2e7aSWilliam Wang val lvpred_disable = Output(Bool()) 4822b8b2e7aSWilliam Wang val no_spec_load = Output(Bool()) 483c7160cd3SWilliam Wang val storeset_wait_store = Output(Bool()) 484c7160cd3SWilliam Wang val storeset_no_fast_wakeup = Output(Bool()) 485c7160cd3SWilliam Wang val lvpred_timeout = Output(UInt(5.W)) 486f3f22d72SYinan Xu // Branch predictor 4872b8b2e7aSWilliam Wang val bp_ctrl = Output(new BPUCtrl) 488f3f22d72SYinan Xu // Memory Block 489f3f22d72SYinan Xu val sbuffer_threshold = Output(UInt(4.W)) 490d10a581eSWilliam Wang val ldld_vio_check_enable = Output(Bool()) 491d10a581eSWilliam Wang val soft_prefetch_enable = Output(Bool()) 492a4e57ea3SLi Qianruo val cache_error_enable = Output(Bool()) 49337225120Ssfencevma val uncache_write_outstanding_enable = Output(Bool()) 494aac4464eSYinan Xu // Rename 4955b47c58cSYinan Xu val fusion_enable = Output(Bool()) 4965b47c58cSYinan Xu val wfi_enable = Output(Bool()) 497af2f7849Shappy-lx // Decode 498af2f7849Shappy-lx val svinval_enable = Output(Bool()) 499af2f7849Shappy-lx 500b6982e83SLemover // distribute csr write signal 501b6982e83SLemover val distribute_csr = new DistributedCSRIO() 50272951335SLi Qianruo 503ddb65c47SLi Qianruo val singlestep = Output(Bool()) 50472951335SLi Qianruo val frontend_trigger = new FrontendTdataDistributeIO() 50572951335SLi Qianruo val mem_trigger = new MemTdataDistributeIO() 50672951335SLi Qianruo val trigger_enable = Output(Vec(10, Bool())) 507b6982e83SLemover} 508b6982e83SLemover 509b6982e83SLemoverclass DistributedCSRIO(implicit p: Parameters) extends XSBundle { 5101c746d3aScui fliter // CSR has been written by csr inst, copies of csr should be updated 511b6982e83SLemover val w = ValidIO(new Bundle { 512b6982e83SLemover val addr = Output(UInt(12.W)) 513b6982e83SLemover val data = Output(UInt(XLEN.W)) 514b6982e83SLemover }) 5152b8b2e7aSWilliam Wang} 516e19f7967SWilliam Wang 517e19f7967SWilliam Wangclass DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle { 518e19f7967SWilliam Wang // Request csr to be updated 519e19f7967SWilliam Wang // 520e19f7967SWilliam Wang // Note that this request will ONLY update CSR Module it self, 521e19f7967SWilliam Wang // copies of csr will NOT be updated, use it with care! 522e19f7967SWilliam Wang // 523e19f7967SWilliam Wang // For each cycle, no more than 1 DistributedCSRUpdateReq is valid 524e19f7967SWilliam Wang val w = ValidIO(new Bundle { 525e19f7967SWilliam Wang val addr = Output(UInt(12.W)) 526e19f7967SWilliam Wang val data = Output(UInt(XLEN.W)) 527e19f7967SWilliam Wang }) 528e19f7967SWilliam Wang def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = { 529e19f7967SWilliam Wang when(valid){ 530e19f7967SWilliam Wang w.bits.addr := addr 531e19f7967SWilliam Wang w.bits.data := data 532e19f7967SWilliam Wang } 533e19f7967SWilliam Wang println("Distributed CSR update req registered for " + src_description) 534e19f7967SWilliam Wang } 535e19f7967SWilliam Wang} 53672951335SLi Qianruo 5370f59c834SWilliam Wangclass L1CacheErrorInfo(implicit p: Parameters) extends XSBundle { 5380f59c834SWilliam Wang // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR 5390f59c834SWilliam Wang val source = Output(new Bundle() { 5400f59c834SWilliam Wang val tag = Bool() // l1 tag array 5410f59c834SWilliam Wang val data = Bool() // l1 data array 5420f59c834SWilliam Wang val l2 = Bool() 5430f59c834SWilliam Wang }) 5440f59c834SWilliam Wang val opType = Output(new Bundle() { 5450f59c834SWilliam Wang val fetch = Bool() 5460f59c834SWilliam Wang val load = Bool() 5470f59c834SWilliam Wang val store = Bool() 5480f59c834SWilliam Wang val probe = Bool() 5490f59c834SWilliam Wang val release = Bool() 5500f59c834SWilliam Wang val atom = Bool() 5510f59c834SWilliam Wang }) 5520f59c834SWilliam Wang val paddr = Output(UInt(PAddrBits.W)) 5530f59c834SWilliam Wang 5540f59c834SWilliam Wang // report error and paddr to beu 5550f59c834SWilliam Wang // bus error unit will receive error info iff ecc_error.valid 5560f59c834SWilliam Wang val report_to_beu = Output(Bool()) 5570f59c834SWilliam Wang 5580f59c834SWilliam Wang // there is an valid error 5590f59c834SWilliam Wang // l1 cache error will always be report to CACHE_ERROR csr 5600f59c834SWilliam Wang val valid = Output(Bool()) 5610f59c834SWilliam Wang 5620f59c834SWilliam Wang def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = { 5630f59c834SWilliam Wang val beu_info = Wire(new L1BusErrorUnitInfo) 5640f59c834SWilliam Wang beu_info.ecc_error.valid := report_to_beu 5650f59c834SWilliam Wang beu_info.ecc_error.bits := paddr 5660f59c834SWilliam Wang beu_info 5670f59c834SWilliam Wang } 5680f59c834SWilliam Wang} 569bc63e578SLi Qianruo 570bc63e578SLi Qianruo/* TODO how to trigger on next inst? 571bc63e578SLi Qianruo1. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep 572bc63e578SLi Qianruo2. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set 573bc63e578SLi Qianruoxret csr to pc + 4/ + 2 574bc63e578SLi Qianruo2.5 The problem is to let it commit. This is the real TODO 575bc63e578SLi Qianruo3. If it is load and hit before just treat it as regular load exception 576bc63e578SLi Qianruo */ 577bc63e578SLi Qianruo 578bc63e578SLi Qianruo// This bundle carries trigger hit info along the pipeline 579bc63e578SLi Qianruo// Now there are 10 triggers divided into 5 groups of 2 580bc63e578SLi Qianruo// These groups are 581bc63e578SLi Qianruo// (if if) (store store) (load loid) (if store) (if load) 582bc63e578SLi Qianruo 583bc63e578SLi Qianruo// Triggers in the same group can chain, meaning that they only 584bc63e578SLi Qianruo// fire is both triggers in the group matches (the triggerHitVec bit is asserted) 585bc63e578SLi Qianruo// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i) 586bc63e578SLi Qianruo// Timing of 0 means trap at current inst, 1 means trap at next inst 587bc63e578SLi Qianruo// Chaining and timing and the validness of a trigger is controlled by csr 588bc63e578SLi Qianruo// In two chained triggers, if they have different timing, both won't fire 58984e47f35SLi Qianruo//class TriggerCf (implicit p: Parameters) extends XSBundle { 59084e47f35SLi Qianruo// val triggerHitVec = Vec(10, Bool()) 59184e47f35SLi Qianruo// val triggerTiming = Vec(10, Bool()) 59284e47f35SLi Qianruo// val triggerChainVec = Vec(5, Bool()) 59384e47f35SLi Qianruo//} 59484e47f35SLi Qianruo 59572951335SLi Qianruoclass TriggerCf(implicit p: Parameters) extends XSBundle { 59684e47f35SLi Qianruo // frontend 59784e47f35SLi Qianruo val frontendHit = Vec(4, Bool()) 598ddb65c47SLi Qianruo// val frontendTiming = Vec(4, Bool()) 599ddb65c47SLi Qianruo// val frontendHitNext = Vec(4, Bool()) 60084e47f35SLi Qianruo 601ddb65c47SLi Qianruo// val frontendException = Bool() 60284e47f35SLi Qianruo // backend 60384e47f35SLi Qianruo val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4) 60484e47f35SLi Qianruo val backendHit = Vec(6, Bool()) 605ddb65c47SLi Qianruo// val backendTiming = Vec(6, Bool()) // trigger enable fro chain 60684e47f35SLi Qianruo 60784e47f35SLi Qianruo // Two situations not allowed: 60884e47f35SLi Qianruo // 1. load data comparison 60984e47f35SLi Qianruo // 2. store chaining with store 61084e47f35SLi Qianruo def getHitFrontend = frontendHit.reduce(_ || _) 61184e47f35SLi Qianruo def getHitBackend = backendHit.reduce(_ || _) 612ddb65c47SLi Qianruo def hit = getHitFrontend || getHitBackend 613d7dd1af1SLi Qianruo def clear(): Unit = { 614d7dd1af1SLi Qianruo frontendHit.foreach(_ := false.B) 615d7dd1af1SLi Qianruo backendEn.foreach(_ := false.B) 616d7dd1af1SLi Qianruo backendHit.foreach(_ := false.B) 617d7dd1af1SLi Qianruo } 61872951335SLi Qianruo} 61972951335SLi Qianruo 620bc63e578SLi Qianruo// these 3 bundles help distribute trigger control signals from CSR 621bc63e578SLi Qianruo// to Frontend, Load and Store. 62272951335SLi Qianruoclass FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle { 62372951335SLi Qianruo val t = Valid(new Bundle { 62472951335SLi Qianruo val addr = Output(UInt(2.W)) 62572951335SLi Qianruo val tdata = new MatchTriggerIO 62672951335SLi Qianruo }) 62772951335SLi Qianruo } 62872951335SLi Qianruo 62972951335SLi Qianruoclass MemTdataDistributeIO(implicit p: Parameters) extends XSBundle { 63072951335SLi Qianruo val t = Valid(new Bundle { 63172951335SLi Qianruo val addr = Output(UInt(3.W)) 63272951335SLi Qianruo val tdata = new MatchTriggerIO 63372951335SLi Qianruo }) 63472951335SLi Qianruo} 63572951335SLi Qianruo 63672951335SLi Qianruoclass MatchTriggerIO(implicit p: Parameters) extends XSBundle { 63772951335SLi Qianruo val matchType = Output(UInt(2.W)) 63872951335SLi Qianruo val select = Output(Bool()) 63972951335SLi Qianruo val timing = Output(Bool()) 64072951335SLi Qianruo val action = Output(Bool()) 64172951335SLi Qianruo val chain = Output(Bool()) 64272951335SLi Qianruo val tdata2 = Output(UInt(64.W)) 64372951335SLi Qianruo} 644