11e3fad10SLinJiaweipackage xiangshan 21e3fad10SLinJiawei 31e3fad10SLinJiaweiimport chisel3._ 45844fcf0SLinJiaweiimport chisel3.util._ 5e402d94eSWilliam Wangimport bus.simplebus._ 6bfa4b2b4SLinJiaweiimport xiangshan.backend.brq.BrqPtr 70851457fSLinJiaweiimport xiangshan.backend.rename.FreeListPtr 81e3fad10SLinJiawei 95844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache 101e3fad10SLinJiaweiclass FetchPacket extends XSBundle { 111e3fad10SLinJiawei val instrs = Vec(FetchWidth, UInt(32.W)) 12e4698824Szoujr val mask = UInt((FetchWidth*2).W) 131e3fad10SLinJiawei val pc = UInt(VAddrBits.W) // the pc of first inst in the fetch group 14320b4406Szhanglinjuan val pnpc = Vec(FetchWidth*2, UInt(VAddrBits.W)) 15320b4406Szhanglinjuan val hist = Vec(FetchWidth*2, UInt(HistoryLength.W)) 16d9cb241dSGouLingrui // val btbVictimWay = UInt(log2Up(BtbWays).W) 17320b4406Szhanglinjuan val predCtr = Vec(FetchWidth*2, UInt(2.W)) 18320b4406Szhanglinjuan val btbHit = Vec(FetchWidth*2, Bool()) 19320b4406Szhanglinjuan val tageMeta = Vec(FetchWidth*2, (new TageMeta)) 2045e96f83Szhanglinjuan val rasSp = UInt(log2Up(RasSize).W) 2145e96f83Szhanglinjuan val rasTopCtr = UInt(8.W) 221e3fad10SLinJiawei} 231e3fad10SLinJiawei 243803411bSzhanglinjuan 25627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle { 263803411bSzhanglinjuan val valid = Bool() 273803411bSzhanglinjuan val bits = gen.asInstanceOf[T] 28627c0a19Szhanglinjuan override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type] 293803411bSzhanglinjuan} 303803411bSzhanglinjuan 31627c0a19Szhanglinjuanobject ValidUndirectioned { 32627c0a19Szhanglinjuan def apply[T <: Data](gen: T) = { 33627c0a19Szhanglinjuan new ValidUndirectioned[T](gen) 343803411bSzhanglinjuan } 353803411bSzhanglinjuan} 363803411bSzhanglinjuan 371e7d14a8Szhanglinjuanclass TageMeta extends XSBundle { 38627c0a19Szhanglinjuan val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W)) 391e7d14a8Szhanglinjuan val altDiffers = Bool() 401e7d14a8Szhanglinjuan val providerU = UInt(2.W) 411e7d14a8Szhanglinjuan val providerCtr = UInt(3.W) 42627c0a19Szhanglinjuan val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W)) 431e7d14a8Szhanglinjuan} 441e7d14a8Szhanglinjuan 45e983e862Szhanglinjuan// Branch prediction result from BPU Stage1 & 3 466fb61704Szhanglinjuanclass BranchPrediction extends XSBundle { 47e983e862Szhanglinjuan val redirect = Bool() 48e983e862Szhanglinjuan 496fb61704Szhanglinjuan // mask off all the instrs after the first redirect instr 50320b4406Szhanglinjuan val instrValid = Vec(FetchWidth*2, Bool()) 51dff546ecSzhanglinjuan // target of the first redirect instr in a fetch package 526fb61704Szhanglinjuan val target = UInt(VAddrBits.W) 53f523fa79Szhanglinjuan val lateJump = Bool() 54e983e862Szhanglinjuan // save these info in brq! 55e983e862Szhanglinjuan // global history of each valid(or uncancelled) instruction, excluding branch's own prediction result 56320b4406Szhanglinjuan val hist = Vec(FetchWidth*2, UInt(HistoryLength.W)) 57f95e78ecSzhanglinjuan // victim way when updating btb 58d9cb241dSGouLingrui // val btbVictimWay = UInt(log2Up(BtbWays).W) 59f95e78ecSzhanglinjuan // 2-bit saturated counter 60320b4406Szhanglinjuan val predCtr = Vec(FetchWidth*2, UInt(2.W)) 61320b4406Szhanglinjuan val btbHit = Vec(FetchWidth*2, Bool()) 621e7d14a8Szhanglinjuan // tage meta info 63320b4406Szhanglinjuan val tageMeta = Vec(FetchWidth*2, (new TageMeta)) 64e983e862Szhanglinjuan // ras checkpoint, only used in Stage3 65dff546ecSzhanglinjuan val rasSp = UInt(log2Up(RasSize).W) 66dff546ecSzhanglinjuan val rasTopCtr = UInt(8.W) 676fb61704Szhanglinjuan} 686fb61704Szhanglinjuan 696fb61704Szhanglinjuan// Save predecode info in icache 706fb61704Szhanglinjuanclass Predecode extends XSBundle { 712f931f37Szhanglinjuan val mask = UInt((FetchWidth*2).W) 72320b4406Szhanglinjuan val isRVC = Vec(FetchWidth*2, Bool()) 73320b4406Szhanglinjuan val fuTypes = Vec(FetchWidth*2, FuType()) 74320b4406Szhanglinjuan val fuOpTypes = Vec(FetchWidth*2, FuOpType()) 751e3fad10SLinJiawei} 761e3fad10SLinJiawei 775844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer 785844fcf0SLinJiaweiclass CtrlFlow extends XSBundle { 795844fcf0SLinJiawei val instr = UInt(32.W) 805844fcf0SLinJiawei val pc = UInt(VAddrBits.W) 8145e96f83Szhanglinjuan val fetchOffset = UInt((log2Up(FetchWidth * 4)).W) 82fda42022Szhanglinjuan val pnpc = UInt(VAddrBits.W) 8345e96f83Szhanglinjuan val hist = UInt(HistoryLength.W) 84d9cb241dSGouLingrui // val btbVictimWay = UInt(log2Up(BtbWays).W) 8545e96f83Szhanglinjuan val btbPredCtr = UInt(2.W) 86320b4406Szhanglinjuan val btbHit = Bool() 8745e96f83Szhanglinjuan val tageMeta = new TageMeta 8845e96f83Szhanglinjuan val rasSp = UInt(log2Up(RasSize).W) 8945e96f83Szhanglinjuan val rasTopCtr = UInt(8.W) 905844fcf0SLinJiawei val exceptionVec = Vec(16, Bool()) 915844fcf0SLinJiawei val intrVec = Vec(12, Bool()) 929a2e6b8aSLinJiawei val isRVC = Bool() 939a2e6b8aSLinJiawei val isBr = Bool() 94c84054caSLinJiawei val crossPageIPFFix = Bool() 955844fcf0SLinJiawei} 965844fcf0SLinJiawei 975844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage 985844fcf0SLinJiaweiclass CtrlSignals extends XSBundle { 999a2e6b8aSLinJiawei val src1Type, src2Type, src3Type = SrcType() 1009a2e6b8aSLinJiawei val lsrc1, lsrc2, lsrc3 = UInt(5.W) 1019a2e6b8aSLinJiawei val ldest = UInt(5.W) 1029a2e6b8aSLinJiawei val fuType = FuType() 1039a2e6b8aSLinJiawei val fuOpType = FuOpType() 1049a2e6b8aSLinJiawei val rfWen = Bool() 1059a2e6b8aSLinJiawei val fpWen = Bool() 1069a2e6b8aSLinJiawei val isXSTrap = Bool() 1079a2e6b8aSLinJiawei val noSpecExec = Bool() // This inst can not be speculated 1089a2e6b8aSLinJiawei val isBlocked = Bool() // This inst requires pipeline to be blocked 109db34a189SLinJiawei val isRVF = Bool() 110db34a189SLinJiawei val imm = UInt(XLEN.W) 1115844fcf0SLinJiawei} 1125844fcf0SLinJiawei 1135844fcf0SLinJiaweiclass CfCtrl extends XSBundle { 1145844fcf0SLinJiawei val cf = new CtrlFlow 1155844fcf0SLinJiawei val ctrl = new CtrlSignals 116bfa4b2b4SLinJiawei val brTag = new BrqPtr 1175844fcf0SLinJiawei} 1185844fcf0SLinJiawei 1195844fcf0SLinJiawei// CfCtrl -> MicroOp at Rename Stage 1205844fcf0SLinJiaweiclass MicroOp extends CfCtrl { 1215844fcf0SLinJiawei 1229a2e6b8aSLinJiawei val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W) 1239a2e6b8aSLinJiawei val src1State, src2State, src3State = SrcState() 1245844fcf0SLinJiawei val roqIdx = UInt(RoqIdxWidth.W) 125a286134cSWilliam Wang val moqIdx = UInt(MoqIdxWidth.W) 1265844fcf0SLinJiawei} 1275844fcf0SLinJiawei 1281e3fad10SLinJiaweiclass Redirect extends XSBundle { 129fda42022Szhanglinjuan val pc = UInt(VAddrBits.W) // wrongly predicted pc 1301e3fad10SLinJiawei val target = UInt(VAddrBits.W) 13143c072e7Szhanglinjuan val brTarget = UInt(VAddrBits.W) 132bfa4b2b4SLinJiawei val brTag = new BrqPtr 133af280c51Szhanglinjuan val btbType = UInt(2.W) 134320b4406Szhanglinjuan val isRVC = Bool() 1352917253cSzhanglinjuan //val isCall = Bool() 136fda42022Szhanglinjuan val taken = Bool() 1376fb61704Szhanglinjuan val hist = UInt(HistoryLength.W) 1381e7d14a8Szhanglinjuan val tageMeta = new TageMeta 139320b4406Szhanglinjuan val fetchIdx = UInt(log2Up(FetchWidth*2).W) 140d9cb241dSGouLingrui // val btbVictimWay = UInt(log2Up(BtbWays).W) 141f95e78ecSzhanglinjuan val btbPredCtr = UInt(2.W) 142320b4406Szhanglinjuan val btbHit = Bool() 143cf1c5078Szhanglinjuan val rasSp = UInt(log2Up(RasSize).W) 144cf1c5078Szhanglinjuan val rasTopCtr = UInt(8.W) 14537fcf7fbSLinJiawei val isException = Bool() 146ab7d3e5fSWilliam Wang val roqIdx = UInt(RoqIdxWidth.W) 1475844fcf0SLinJiawei} 1485844fcf0SLinJiawei 149a25b1bceSLinJiaweiclass RedirectInfo extends XSBundle { 150a25b1bceSLinJiawei 151a25b1bceSLinJiawei val valid = Bool() // a valid commit form brq/roq 152a25b1bceSLinJiawei val misPred = Bool() // a branch miss prediction ? 153a25b1bceSLinJiawei val redirect = new Redirect 154a25b1bceSLinJiawei 155a25b1bceSLinJiawei def flush():Bool = valid && (redirect.isException || misPred) 156a25b1bceSLinJiawei} 157a25b1bceSLinJiawei 1585844fcf0SLinJiaweiclass Dp1ToDp2IO extends XSBundle { 159*5c7b21d5SYinan Xu val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 160*5c7b21d5SYinan Xu val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp)) 161*5c7b21d5SYinan Xu val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp)) 1625844fcf0SLinJiawei} 1635844fcf0SLinJiawei 164e402d94eSWilliam Wangclass DebugBundle extends XSBundle{ 16572235fa4SWilliam Wang val isMMIO = Bool() 166e402d94eSWilliam Wang} 1675844fcf0SLinJiawei 1685844fcf0SLinJiaweiclass ExuInput extends XSBundle { 1695844fcf0SLinJiawei val uop = new MicroOp 1705844fcf0SLinJiawei val src1, src2, src3 = UInt(XLEN.W) 1715844fcf0SLinJiawei} 1725844fcf0SLinJiawei 1735844fcf0SLinJiaweiclass ExuOutput extends XSBundle { 1745844fcf0SLinJiawei val uop = new MicroOp 1755844fcf0SLinJiawei val data = UInt(XLEN.W) 17697cfa7f8SLinJiawei val redirectValid = Bool() 17797cfa7f8SLinJiawei val redirect = new Redirect 178e402d94eSWilliam Wang val debug = new DebugBundle 1795844fcf0SLinJiawei} 1805844fcf0SLinJiawei 1815844fcf0SLinJiaweiclass ExuIO extends XSBundle { 1825844fcf0SLinJiawei val in = Flipped(DecoupledIO(new ExuInput)) 183c3174e61SZhangZifei val redirect = Flipped(ValidIO(new Redirect)) 1845844fcf0SLinJiawei val out = DecoupledIO(new ExuOutput) 185bf9968b2SYinan Xu // for csr 186bf9968b2SYinan Xu val exception = Flipped(ValidIO(new MicroOp)) 187e402d94eSWilliam Wang // for Lsu 188e402d94eSWilliam Wang val dmem = new SimpleBusUC 18911915f69SWilliam Wang val mcommit = Input(UInt(3.W)) 1905844fcf0SLinJiawei} 1915844fcf0SLinJiawei 1925844fcf0SLinJiaweiclass RoqCommit extends XSBundle { 1935844fcf0SLinJiawei val uop = new MicroOp 194296e7422SLinJiawei val isWalk = Bool() 1955844fcf0SLinJiawei} 1965844fcf0SLinJiawei 1975844fcf0SLinJiaweiclass FrontendToBackendIO extends XSBundle { 1985844fcf0SLinJiawei // to backend end 1995844fcf0SLinJiawei val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 2005844fcf0SLinJiawei // from backend 201a25b1bceSLinJiawei val redirectInfo = Input(new RedirectInfo) 2021eeb0919SLinJiawei val inOrderBrInfo = Input(new RedirectInfo) 2031e3fad10SLinJiawei} 204