11e3fad10SLinJiaweipackage xiangshan 21e3fad10SLinJiawei 31e3fad10SLinJiaweiimport chisel3._ 45844fcf0SLinJiaweiimport chisel3.util._ 542707b3bSYinan Xuimport xiangshan.backend.roq.RoqPtr 6de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode} 75c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr} 8bbfca13aSzoujrimport xiangshan.frontend.PreDecodeInfoForDebug 966b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo 10f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter 112b8b2e7aSWilliam Wangimport xiangshan.frontend.PreDecodeInfo 12f3501106SGouLingruiimport xiangshan.frontend.HasTageParameter 13a58f4119SLingrui98import xiangshan.frontend.HasSCParameter 14ceaf5e1fSLingrui98import xiangshan.frontend.HasIFUConst 15f634c609SLingrui98import xiangshan.frontend.GlobalHistory 167447ee13SLingrui98import xiangshan.frontend.RASEntry 172b8b2e7aSWilliam Wangimport xiangshan.frontend.BPUCtrl 18ceaf5e1fSLingrui98import utils._ 19b0ae3ac4SLinJiawei 202fbdb79bSLingrui98import scala.math.max 21d471c5aeSLingrui98import Chisel.experimental.chiselName 222225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 23884dbb3bSLinJiaweiimport xiangshan.backend.ftq.FtqPtr 241e3fad10SLinJiawei 255844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache 26de169c67SWilliam Wangclass FetchPacket(implicit p: Parameters) extends XSBundle { 2728958354Szhanglinjuan val instrs = Vec(PredictWidth, UInt(32.W)) 2828958354Szhanglinjuan val mask = UInt(PredictWidth.W) 294ec80874Szoujr val pdmask = UInt(PredictWidth.W) 3042696a74Szhanglinjuan // val pc = UInt(VAddrBits.W) 3142696a74Szhanglinjuan val pc = Vec(PredictWidth, UInt(VAddrBits.W)) 32de169c67SWilliam Wang val foldpc = Vec(PredictWidth, UInt(MemPredPCWidth.W)) 33a428082bSLinJiawei val pd = Vec(PredictWidth, new PreDecodeInfo) 345a67e465Szhanglinjuan val ipf = Bool() 357e6acce3Sjinyue110 val acf = Bool() 365a67e465Szhanglinjuan val crossPageIPFFix = Bool() 37744c623cSLingrui98 val pred_taken = UInt(PredictWidth.W) 38744c623cSLingrui98 val ftqPtr = new FtqPtr 391e3fad10SLinJiawei} 401e3fad10SLinJiawei 41627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle { 423803411bSzhanglinjuan val valid = Bool() 4335fe60e8SLingrui98 val bits = gen.cloneType.asInstanceOf[T] 44fe211d16SLinJiawei 45627c0a19Szhanglinjuan override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type] 463803411bSzhanglinjuan} 473803411bSzhanglinjuan 48627c0a19Szhanglinjuanobject ValidUndirectioned { 49627c0a19Szhanglinjuan def apply[T <: Data](gen: T) = { 50627c0a19Szhanglinjuan new ValidUndirectioned[T](gen) 513803411bSzhanglinjuan } 523803411bSzhanglinjuan} 533803411bSzhanglinjuan 541b7adedcSWilliam Wangobject RSFeedbackType { 551b7adedcSWilliam Wang val tlbMiss = 0.U(2.W) 561b7adedcSWilliam Wang val mshrFull = 1.U(2.W) 571b7adedcSWilliam Wang val dataInvalid = 2.U(2.W) 581b7adedcSWilliam Wang 591b7adedcSWilliam Wang def apply() = UInt(2.W) 601b7adedcSWilliam Wang} 611b7adedcSWilliam Wang 622225d46eSJiawei Linclass SCMeta(val useSC: Boolean)(implicit p: Parameters) extends XSBundle with HasSCParameter { 632fbdb79bSLingrui98 val tageTaken = if (useSC) Bool() else UInt(0.W) 642fbdb79bSLingrui98 val scUsed = if (useSC) Bool() else UInt(0.W) 652fbdb79bSLingrui98 val scPred = if (useSC) Bool() else UInt(0.W) 662fbdb79bSLingrui98 // Suppose ctrbits of all tables are identical 672fbdb79bSLingrui98 val ctrs = if (useSC) Vec(SCNTables, SInt(SCCtrBits.W)) else Vec(SCNTables, SInt(0.W)) 682fbdb79bSLingrui98} 692fbdb79bSLingrui98 702225d46eSJiawei Linclass TageMeta(implicit p: Parameters) extends XSBundle with HasTageParameter { 71627c0a19Szhanglinjuan val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W)) 721e7d14a8Szhanglinjuan val altDiffers = Bool() 731e7d14a8Szhanglinjuan val providerU = UInt(2.W) 741e7d14a8Szhanglinjuan val providerCtr = UInt(3.W) 75627c0a19Szhanglinjuan val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W)) 766b98bdcbSLingrui98 val taken = Bool() 772fbdb79bSLingrui98 val scMeta = new SCMeta(EnableSC) 781e7d14a8Szhanglinjuan} 791e7d14a8Szhanglinjuan 80d471c5aeSLingrui98@chiselName 812225d46eSJiawei Linclass BranchPrediction(implicit p: Parameters) extends XSBundle with HasIFUConst { 82ceaf5e1fSLingrui98 // val redirect = Bool() 83ceaf5e1fSLingrui98 val takens = UInt(PredictWidth.W) 84ceaf5e1fSLingrui98 // val jmpIdx = UInt(log2Up(PredictWidth).W) 85ceaf5e1fSLingrui98 val brMask = UInt(PredictWidth.W) 86ceaf5e1fSLingrui98 val jalMask = UInt(PredictWidth.W) 87ceaf5e1fSLingrui98 val targets = Vec(PredictWidth, UInt(VAddrBits.W)) 88ceaf5e1fSLingrui98 89576af497SLingrui98 // half RVI could only start at the end of a packet 90576af497SLingrui98 val hasHalfRVI = Bool() 91ceaf5e1fSLingrui98 92d42f3562SLingrui98 def brNotTakens = (~takens & brMask) 93ceaf5e1fSLingrui98 94ceaf5e1fSLingrui98 def sawNotTakenBr = VecInit((0 until PredictWidth).map(i => 9544ff7871SLingrui98 (if (i == 0) false.B else ParallelORR(brNotTakens(i - 1, 0))))) 96fe211d16SLinJiawei 97818ec9f9SLingrui98 // if not taken before the half RVI inst 98576af497SLingrui98 def saveHalfRVI = hasHalfRVI && !(ParallelORR(takens(PredictWidth - 2, 0))) 99fe211d16SLinJiawei 100ceaf5e1fSLingrui98 // could get PredictWidth-1 when only the first bank is valid 101d42f3562SLingrui98 def jmpIdx = ParallelPriorityEncoder(takens) 102fe211d16SLinJiawei 103ceaf5e1fSLingrui98 // only used when taken 104c0c378b3SLingrui98 def target = { 105c0c378b3SLingrui98 val generator = new PriorityMuxGenerator[UInt] 106d42f3562SLingrui98 generator.register(takens.asBools, targets, List.fill(PredictWidth)(None)) 107c0c378b3SLingrui98 generator() 108c0c378b3SLingrui98 } 109fe211d16SLinJiawei 110d42f3562SLingrui98 def taken = ParallelORR(takens) 111fe211d16SLinJiawei 112d42f3562SLingrui98 def takenOnBr = taken && ParallelPriorityMux(takens, brMask.asBools) 113fe211d16SLinJiawei 114d42f3562SLingrui98 def hasNotTakenBrs = Mux(taken, ParallelPriorityMux(takens, sawNotTakenBr), ParallelORR(brNotTakens)) 11566b0d0c3Szhanglinjuan} 11666b0d0c3Szhanglinjuan 1172225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle { 118097c2688SLingrui98 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 119097c2688SLingrui98 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 120097c2688SLingrui98 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 12151b2a476Szoujr} 12251b2a476Szoujr 1232225d46eSJiawei Linclass BpuMeta(implicit p: Parameters) extends XSBundle with HasBPUParameter { 12453bf6077SLingrui98 val btbWriteWay = UInt(log2Up(BtbWays).W) 1258f6a1237SSteve Gou val btbHit = Bool() 126e3aeae54SLingrui98 val bimCtr = UInt(2.W) 127f226232fSzhanglinjuan val tageMeta = new TageMeta 128f634c609SLingrui98 // for global history 129f226232fSzhanglinjuan 1303a48285bSGouLingrui val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W) 1313a48285bSGouLingrui val debug_btb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W) 1323a48285bSGouLingrui val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W) 133ec776fa0SLingrui98 1347d793c5aSzoujr val predictor = if (BPUDebug) UInt(log2Up(4).W) else UInt(0.W) // Mark which component this prediction comes from {ubtb, btb, tage, loopPredictor} 1357d793c5aSzoujr 1368f6a1237SSteve Gou val ubtbHit = if (BPUDebug) UInt(1.W) else UInt(0.W) 1378f6a1237SSteve Gou 13851b2a476Szoujr val ubtbAns = new PredictorAnswer 13951b2a476Szoujr val btbAns = new PredictorAnswer 14051b2a476Szoujr val tageAns = new PredictorAnswer 14151b2a476Szoujr val rasAns = new PredictorAnswer 14251b2a476Szoujr val loopAns = new PredictorAnswer 14351b2a476Szoujr 144f634c609SLingrui98 // def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = { 145f634c609SLingrui98 // this.histPtr := histPtr 146f634c609SLingrui98 // this.tageMeta := tageMeta 147f634c609SLingrui98 // this.rasSp := rasSp 148f634c609SLingrui98 // this.rasTopCtr := rasTopCtr 149f634c609SLingrui98 // this.asUInt 150f634c609SLingrui98 // } 151f226232fSzhanglinjuan def size = 0.U.asTypeOf(this).getWidth 152fe211d16SLinJiawei 153f226232fSzhanglinjuan def fromUInt(x: UInt) = x.asTypeOf(this) 15466b0d0c3Szhanglinjuan} 15566b0d0c3Szhanglinjuan 1562225d46eSJiawei Linclass Predecode(implicit p: Parameters) extends XSBundle with HasIFUConst { 157ceaf5e1fSLingrui98 val hasLastHalfRVI = Bool() 1586215f044SLingrui98 val mask = UInt(PredictWidth.W) 159576af497SLingrui98 val lastHalf = Bool() 1606215f044SLingrui98 val pd = Vec(PredictWidth, (new PreDecodeInfo)) 1616fb61704Szhanglinjuan} 1626fb61704Szhanglinjuan 1632225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 164f226232fSzhanglinjuan // from backend 16569cafcc9SLingrui98 val pc = UInt(VAddrBits.W) 166f226232fSzhanglinjuan // frontend -> backend -> frontend 167f226232fSzhanglinjuan val pd = new PreDecodeInfo 1688a5e9243SLinJiawei val rasSp = UInt(log2Up(RasSize).W) 1692e947747SLinJiawei val rasEntry = new RASEntry 1708a5e9243SLinJiawei val hist = new GlobalHistory 1718a5e9243SLinJiawei val predHist = new GlobalHistory 172f6fc1a05Szoujr val specCnt = Vec(PredictWidth, UInt(10.W)) 173fe3a74fcSYinan Xu // need pipeline update 1742e947747SLinJiawei val sawNotTakenBranch = Bool() 1752e947747SLinJiawei val predTaken = Bool() 176b2e6921eSLinJiawei val target = UInt(VAddrBits.W) 1779a2e6b8aSLinJiawei val taken = Bool() 178b2e6921eSLinJiawei val isMisPred = Bool() 179b2e6921eSLinJiawei} 180b2e6921eSLinJiawei 1815844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer 182de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle { 1835844fcf0SLinJiawei val instr = UInt(32.W) 1845844fcf0SLinJiawei val pc = UInt(VAddrBits.W) 185de169c67SWilliam Wang val foldpc = UInt(MemPredPCWidth.W) 186baf8def6SYinan Xu val exceptionVec = ExceptionVec() 1875844fcf0SLinJiawei val intrVec = Vec(12, Bool()) 188faf3cfa9SLinJiawei val pd = new PreDecodeInfo 189cde9280dSLinJiawei val pred_taken = Bool() 190c84054caSLinJiawei val crossPageIPFFix = Bool() 191de169c67SWilliam Wang val storeSetHit = Bool() // inst has been allocated an store set 1922b8b2e7aSWilliam Wang val loadWaitBit = Bool() // load inst should not be executed until all former store addr calcuated 193de169c67SWilliam Wang val ssid = UInt(SSIDWidth.W) 194884dbb3bSLinJiawei val ftqPtr = new FtqPtr 195884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 1965844fcf0SLinJiawei} 1975844fcf0SLinJiawei 1982225d46eSJiawei Linclass FtqEntry(implicit p: Parameters) extends XSBundle { 199ec778fd0SLingrui98 // fetch pc, pc of each inst could be generated by concatenation 2001670d147SLingrui98 val ftqPC = UInt(VAddrBits.W) 2011670d147SLingrui98 val lastPacketPC = ValidUndirectioned(UInt(VAddrBits.W)) 202ec778fd0SLingrui98 // prediction metas 203ec778fd0SLingrui98 val hist = new GlobalHistory 204ec778fd0SLingrui98 val predHist = new GlobalHistory 205ec778fd0SLingrui98 val rasSp = UInt(log2Ceil(RasSize).W) 206ec778fd0SLingrui98 val rasTop = new RASEntry() 207744c623cSLingrui98 val specCnt = Vec(PredictWidth, UInt(10.W)) 208ec778fd0SLingrui98 val metas = Vec(PredictWidth, new BpuMeta) 209ec778fd0SLingrui98 2108f6a1237SSteve Gou val cfiIsCall, cfiIsRet, cfiIsJalr, cfiIsRVC = Bool() 211744c623cSLingrui98 val rvc_mask = Vec(PredictWidth, Bool()) 212b97160feSLinJiawei val br_mask = Vec(PredictWidth, Bool()) 213b97160feSLinJiawei val cfiIndex = ValidUndirectioned(UInt(log2Up(PredictWidth).W)) 214b97160feSLinJiawei val valids = Vec(PredictWidth, Bool()) 215ec778fd0SLingrui98 216c778d2afSLinJiawei // backend update 217c778d2afSLinJiawei val mispred = Vec(PredictWidth, Bool()) 218148ba860SLinJiawei val target = UInt(VAddrBits.W) 219744c623cSLingrui98 2200ca50dbbSzoujr // For perf counters 221bbfca13aSzoujr val pd = Vec(PredictWidth, new PreDecodeInfoForDebug(!env.FPGAPlatform)) 2220ca50dbbSzoujr 223744c623cSLingrui98 def takens = VecInit((0 until PredictWidth).map(i => cfiIndex.valid && cfiIndex.bits === i.U)) 2241670d147SLingrui98 def hasLastPrev = lastPacketPC.valid 225fe211d16SLinJiawei 226fe211d16SLinJiawei override def toPrintable: Printable = { 2271670d147SLingrui98 p"ftqPC: ${Hexadecimal(ftqPC)} lastPacketPC: ${Hexadecimal(lastPacketPC.bits)} hasLastPrev:$hasLastPrev " + 22848dc7634SLinJiawei p"rasSp:$rasSp specCnt:$specCnt brmask:${Binary(Cat(br_mask))} rvcmask:${Binary(Cat(rvc_mask))} " + 22948dc7634SLinJiawei p"valids:${Binary(valids.asUInt())} cfi valid: ${cfiIndex.valid} " + 2308f6a1237SSteve Gou p"cfi index: ${cfiIndex.bits} isCall:$cfiIsCall isRet:$cfiIsRet isJalr:$cfiIsJalr, isRvc:$cfiIsRVC " + 23148dc7634SLinJiawei p"mispred:${Binary(Cat(mispred))} target:${Hexadecimal(target)}\n" 232ec778fd0SLingrui98 } 233ec778fd0SLingrui98 2345844fcf0SLinJiawei} 2355844fcf0SLinJiawei 236579b9f28SLinJiawei 2372225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 2382ce29ed6SLinJiawei val isAddSub = Bool() // swap23 2392ce29ed6SLinJiawei val typeTagIn = UInt(2.W) 2402ce29ed6SLinJiawei val typeTagOut = UInt(2.W) 2412ce29ed6SLinJiawei val fromInt = Bool() 2422ce29ed6SLinJiawei val wflags = Bool() 2432ce29ed6SLinJiawei val fpWen = Bool() 2442ce29ed6SLinJiawei val fmaCmd = UInt(2.W) 2452ce29ed6SLinJiawei val div = Bool() 2462ce29ed6SLinJiawei val sqrt = Bool() 2472ce29ed6SLinJiawei val fcvt = Bool() 2482ce29ed6SLinJiawei val typ = UInt(2.W) 2492ce29ed6SLinJiawei val fmt = UInt(2.W) 2502ce29ed6SLinJiawei val ren3 = Bool() //TODO: remove SrcType.fp 251e6c6b64fSLinJiawei val rm = UInt(3.W) 252579b9f28SLinJiawei} 253579b9f28SLinJiawei 2545844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage 2552225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle { 25620e31bd1SYinan Xu val srcType = Vec(3, SrcType()) 25720e31bd1SYinan Xu val lsrc = Vec(3, UInt(5.W)) 2589a2e6b8aSLinJiawei val ldest = UInt(5.W) 2599a2e6b8aSLinJiawei val fuType = FuType() 2609a2e6b8aSLinJiawei val fuOpType = FuOpType() 2619a2e6b8aSLinJiawei val rfWen = Bool() 2629a2e6b8aSLinJiawei val fpWen = Bool() 2639a2e6b8aSLinJiawei val isXSTrap = Bool() 2642d366136SLinJiawei val noSpecExec = Bool() // wait forward 2652d366136SLinJiawei val blockBackward = Bool() // block backward 26645a56a29SZhangZifei val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 267db34a189SLinJiawei val isRVF = Bool() 268c2a8ae00SYikeZhou val selImm = SelImm() 269b0ae3ac4SLinJiawei val imm = UInt(ImmUnion.maxLen.W) 270a3edac52SYinan Xu val commitType = CommitType() 271579b9f28SLinJiawei val fpu = new FPUCtrlSignals 272aac4464eSYinan Xu val isMove = Bool() 273be25371aSYikeZhou 274be25371aSYikeZhou def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]) = { 275be25371aSYikeZhou val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table) 276be25371aSYikeZhou val signals = 27720e31bd1SYinan Xu Seq(srcType(0), srcType(1), srcType(2), fuType, fuOpType, rfWen, fpWen, 278c2a8ae00SYikeZhou isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm) 279be25371aSYikeZhou signals zip decoder map { case (s, d) => s := d } 2804d24c305SYikeZhou commitType := DontCare 281be25371aSYikeZhou this 282be25371aSYikeZhou } 2835844fcf0SLinJiawei} 2845844fcf0SLinJiawei 2852225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle { 2865844fcf0SLinJiawei val cf = new CtrlFlow 2875844fcf0SLinJiawei val ctrl = new CtrlSignals 2885844fcf0SLinJiawei} 2895844fcf0SLinJiawei 2902225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle { 291aac4464eSYinan Xu val src1MoveElim = Bool() 292aac4464eSYinan Xu val src2MoveElim = Bool() 293ba4100caSYinan Xu // val fetchTime = UInt(64.W) 294ba4100caSYinan Xu val renameTime = UInt(64.W) 2957cef916fSYinan Xu val dispatchTime = UInt(64.W) 296ba4100caSYinan Xu val issueTime = UInt(64.W) 297ba4100caSYinan Xu val writebackTime = UInt(64.W) 2987cef916fSYinan Xu // val commitTime = UInt(64.W) 299ba4100caSYinan Xu} 300ba4100caSYinan Xu 30148d1472eSWilliam Wang// Separate LSQ 3022225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle { 303915c0dd4SYinan Xu val lqIdx = new LqPtr 3045c1ae31bSYinan Xu val sqIdx = new SqPtr 30524726fbfSWilliam Wang} 30624726fbfSWilliam Wang 307b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage 3082225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl { 30920e31bd1SYinan Xu val srcState = Vec(3, SrcState()) 31020e31bd1SYinan Xu val psrc = Vec(3, UInt(PhyRegIdxWidth.W)) 31120e31bd1SYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 31220e31bd1SYinan Xu val old_pdest = UInt(PhyRegIdxWidth.W) 31342707b3bSYinan Xu val roqIdx = new RoqPtr 314fe6452fcSYinan Xu val lqIdx = new LqPtr 315fe6452fcSYinan Xu val sqIdx = new SqPtr 316355fcd20SAllen val diffTestDebugLrScValid = Bool() 3177cef916fSYinan Xu val debugInfo = new PerfDebugInfo 31883596a03SYinan Xu def needRfRPort(index: Int, rfType: Int, ignoreState: Boolean = true) : Bool = { 319a338f247SYinan Xu (index, rfType) match { 32020e31bd1SYinan Xu case (0, 0) => ctrl.srcType(0) === SrcType.reg && ctrl.lsrc(0) =/= 0.U && (srcState(0) === SrcState.rdy || ignoreState.B) 32120e31bd1SYinan Xu case (1, 0) => ctrl.srcType(1) === SrcType.reg && ctrl.lsrc(1) =/= 0.U && (srcState(1) === SrcState.rdy || ignoreState.B) 32220e31bd1SYinan Xu case (0, 1) => ctrl.srcType(0) === SrcType.fp && (srcState(0) === SrcState.rdy || ignoreState.B) 32320e31bd1SYinan Xu case (1, 1) => ctrl.srcType(1) === SrcType.fp && (srcState(1) === SrcState.rdy || ignoreState.B) 32420e31bd1SYinan Xu case (2, 1) => ctrl.srcType(2) === SrcType.fp && (srcState(2) === SrcState.rdy || ignoreState.B) 325a338f247SYinan Xu case _ => false.B 326a338f247SYinan Xu } 327a338f247SYinan Xu } 328*5c7674feSYinan Xu def srcIsReady: Vec[Bool] = { 329*5c7674feSYinan Xu VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcImm(t) || s === SrcState.rdy }) 330*5c7674feSYinan Xu } 331*5c7674feSYinan Xu def doWriteIntRf: Bool = ctrl.rfWen && ctrl.ldest =/= 0.U 332*5c7674feSYinan Xu def doWriteFpRf: Bool = ctrl.fpWen 3335844fcf0SLinJiawei} 3345844fcf0SLinJiawei 335de169c67SWilliam Wangclass MicroOpRbExt(implicit p: Parameters) extends XSBundle { 336de169c67SWilliam Wang val uop = new MicroOp 337de169c67SWilliam Wang val flag = UInt(1.W) 338de169c67SWilliam Wang} 339de169c67SWilliam Wang 3402225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle { 34142707b3bSYinan Xu val roqIdx = new RoqPtr 34236d7aed5SLinJiawei val ftqIdx = new FtqPtr 34336d7aed5SLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 344bfb958a3SYinan Xu val level = RedirectLevel() 345bfb958a3SYinan Xu val interrupt = Bool() 346c778d2afSLinJiawei val cfiUpdate = new CfiUpdateInfo 347bfb958a3SYinan Xu 348de169c67SWilliam Wang val stFtqIdx = new FtqPtr // for load violation predict 349de169c67SWilliam Wang val stFtqOffset = UInt(log2Up(PredictWidth).W) 350fe211d16SLinJiawei 3512d7c7105SYinan Xu // def isUnconditional() = RedirectLevel.isUnconditional(level) 352bfb958a3SYinan Xu def flushItself() = RedirectLevel.flushItself(level) 3532d7c7105SYinan Xu // def isException() = RedirectLevel.isException(level) 354a25b1bceSLinJiawei} 355a25b1bceSLinJiawei 3562225d46eSJiawei Linclass Dp1ToDp2IO(implicit p: Parameters) extends XSBundle { 3575c7b21d5SYinan Xu val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 3585c7b21d5SYinan Xu val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp)) 3595c7b21d5SYinan Xu val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp)) 3605844fcf0SLinJiawei} 3615844fcf0SLinJiawei 3622225d46eSJiawei Linclass ReplayPregReq(implicit p: Parameters) extends XSBundle { 36360deaca2SLinJiawei // NOTE: set isInt and isFp both to 'false' when invalid 36460deaca2SLinJiawei val isInt = Bool() 36560deaca2SLinJiawei val isFp = Bool() 36660deaca2SLinJiawei val preg = UInt(PhyRegIdxWidth.W) 3675844fcf0SLinJiawei} 3685844fcf0SLinJiawei 3692225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle { 37072235fa4SWilliam Wang val isMMIO = Bool() 3718635f18fSwangkaifan val isPerfCnt = Bool() 3728b91a337SWilliam Wang val paddr = UInt(PAddrBits.W) 373e402d94eSWilliam Wang} 3745844fcf0SLinJiawei 3752225d46eSJiawei Linclass ExuInput(implicit p: Parameters) extends XSBundle { 3765844fcf0SLinJiawei val uop = new MicroOp 3772bd5334dSYinan Xu val src = Vec(3, UInt((XLEN + 1).W)) 3785844fcf0SLinJiawei} 3795844fcf0SLinJiawei 3802225d46eSJiawei Linclass ExuOutput(implicit p: Parameters) extends XSBundle { 3815844fcf0SLinJiawei val uop = new MicroOp 3829684eb4fSLinJiawei val data = UInt((XLEN + 1).W) 3837f1506e3SLinJiawei val fflags = UInt(5.W) 38497cfa7f8SLinJiawei val redirectValid = Bool() 38597cfa7f8SLinJiawei val redirect = new Redirect 386e402d94eSWilliam Wang val debug = new DebugBundle 3875844fcf0SLinJiawei} 3885844fcf0SLinJiawei 3892225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 39035bfeecbSYinan Xu val mtip = Input(Bool()) 39135bfeecbSYinan Xu val msip = Input(Bool()) 39235bfeecbSYinan Xu val meip = Input(Bool()) 3935844fcf0SLinJiawei} 3945844fcf0SLinJiawei 3952225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle { 39635bfeecbSYinan Xu val exception = Flipped(ValidIO(new MicroOp)) 3973fa7b737SYinan Xu val isInterrupt = Input(Bool()) 39835bfeecbSYinan Xu val memExceptionVAddr = Input(UInt(VAddrBits.W)) 39935bfeecbSYinan Xu val trapTarget = Output(UInt(VAddrBits.W)) 40035bfeecbSYinan Xu val externalInterrupt = new ExternalInterruptIO 40135bfeecbSYinan Xu val interrupt = Output(Bool()) 40235bfeecbSYinan Xu} 40335bfeecbSYinan Xu 4042225d46eSJiawei Linclass ExceptionInfo(implicit p: Parameters) extends XSBundle { 4053a474d38SYinan Xu val uop = new MicroOp 4063a474d38SYinan Xu val isInterrupt = Bool() 4073a474d38SYinan Xu} 4083a474d38SYinan Xu 4092225d46eSJiawei Linclass RoqCommitInfo(implicit p: Parameters) extends XSBundle { 410fe6452fcSYinan Xu val ldest = UInt(5.W) 411fe6452fcSYinan Xu val rfWen = Bool() 412fe6452fcSYinan Xu val fpWen = Bool() 413a1fd7de4SLinJiawei val wflags = Bool() 414fe6452fcSYinan Xu val commitType = CommitType() 415fe6452fcSYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 416fe6452fcSYinan Xu val old_pdest = UInt(PhyRegIdxWidth.W) 417884dbb3bSLinJiawei val ftqIdx = new FtqPtr 418884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 4195844fcf0SLinJiawei 4209ecac1e8SYinan Xu // these should be optimized for synthesis verilog 4219ecac1e8SYinan Xu val pc = UInt(VAddrBits.W) 422fe6452fcSYinan Xu} 4235844fcf0SLinJiawei 4242225d46eSJiawei Linclass RoqCommitIO(implicit p: Parameters) extends XSBundle { 42521e7a6c5SYinan Xu val isWalk = Output(Bool()) 42621e7a6c5SYinan Xu val valid = Vec(CommitWidth, Output(Bool())) 427fe6452fcSYinan Xu val info = Vec(CommitWidth, Output(new RoqCommitInfo)) 42821e7a6c5SYinan Xu 42921e7a6c5SYinan Xu def hasWalkInstr = isWalk && valid.asUInt.orR 430fe211d16SLinJiawei 43121e7a6c5SYinan Xu def hasCommitInstr = !isWalk && valid.asUInt.orR 4325844fcf0SLinJiawei} 4335844fcf0SLinJiawei 4341b7adedcSWilliam Wangclass RSFeedback(implicit p: Parameters) extends XSBundle { 43564e8d8bdSZhangZifei val rsIdx = UInt(log2Up(IssQueSize).W) 436037a131fSWilliam Wang val hit = Bool() 43762f57a35SLemover val flushState = Bool() 4381b7adedcSWilliam Wang val sourceType = RSFeedbackType() 439037a131fSWilliam Wang} 440037a131fSWilliam Wang 4412225d46eSJiawei Linclass FrontendToBackendIO(implicit p: Parameters) extends XSBundle { 4425844fcf0SLinJiawei // to backend end 4435844fcf0SLinJiawei val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 4448a5e9243SLinJiawei val fetchInfo = DecoupledIO(new FtqEntry) 4455844fcf0SLinJiawei // from backend 446c778d2afSLinJiawei val redirect_cfiUpdate = Flipped(ValidIO(new Redirect)) 447c778d2afSLinJiawei val commit_cfiUpdate = Flipped(ValidIO(new FtqEntry)) 448fc4776e4SLinJiawei val ftqEnqPtr = Input(new FtqPtr) 449fc4776e4SLinJiawei val ftqLeftOne = Input(Bool()) 4501e3fad10SLinJiawei} 451fcff7e94SZhangZifei 4522225d46eSJiawei Linclass TlbCsrBundle(implicit p: Parameters) extends XSBundle { 453fcff7e94SZhangZifei val satp = new Bundle { 454fcff7e94SZhangZifei val mode = UInt(4.W) // TODO: may change number to parameter 455fcff7e94SZhangZifei val asid = UInt(16.W) 456fcff7e94SZhangZifei val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen 457fcff7e94SZhangZifei } 458fcff7e94SZhangZifei val priv = new Bundle { 459fcff7e94SZhangZifei val mxr = Bool() 460fcff7e94SZhangZifei val sum = Bool() 461fcff7e94SZhangZifei val imode = UInt(2.W) 462fcff7e94SZhangZifei val dmode = UInt(2.W) 463fcff7e94SZhangZifei } 4648fc4e859SZhangZifei 4658fc4e859SZhangZifei override def toPrintable: Printable = { 4668fc4e859SZhangZifei p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 4678fc4e859SZhangZifei p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 4688fc4e859SZhangZifei } 469fcff7e94SZhangZifei} 470fcff7e94SZhangZifei 4712225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle { 472fcff7e94SZhangZifei val valid = Bool() 473fcff7e94SZhangZifei val bits = new Bundle { 474fcff7e94SZhangZifei val rs1 = Bool() 475fcff7e94SZhangZifei val rs2 = Bool() 476fcff7e94SZhangZifei val addr = UInt(VAddrBits.W) 477fcff7e94SZhangZifei } 4788fc4e859SZhangZifei 4798fc4e859SZhangZifei override def toPrintable: Printable = { 4808fc4e859SZhangZifei p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}" 4818fc4e859SZhangZifei } 482fcff7e94SZhangZifei} 483a165bd69Swangkaifan 484de169c67SWilliam Wang// Bundle for load violation predictor updating 485de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 4862b8b2e7aSWilliam Wang val valid = Bool() 487de169c67SWilliam Wang 488de169c67SWilliam Wang // wait table update 489de169c67SWilliam Wang val waddr = UInt(MemPredPCWidth.W) 4902b8b2e7aSWilliam Wang val wdata = Bool() // true.B by default 491de169c67SWilliam Wang 492de169c67SWilliam Wang // store set update 493de169c67SWilliam Wang // by default, ldpc/stpc should be xor folded 494de169c67SWilliam Wang val ldpc = UInt(MemPredPCWidth.W) 495de169c67SWilliam Wang val stpc = UInt(MemPredPCWidth.W) 4962b8b2e7aSWilliam Wang} 4972b8b2e7aSWilliam Wang 4982225d46eSJiawei Linclass PerfInfoIO extends Bundle { 499b31c62abSwangkaifan val clean = Input(Bool()) 500b31c62abSwangkaifan val dump = Input(Bool()) 501b31c62abSwangkaifan} 5022b8b2e7aSWilliam Wang 5032225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 5042b8b2e7aSWilliam Wang // Prefetcher 5052b8b2e7aSWilliam Wang val l1plus_pf_enable = Output(Bool()) 5062b8b2e7aSWilliam Wang val l2_pf_enable = Output(Bool()) 507f3f22d72SYinan Xu // Labeled XiangShan 5082b8b2e7aSWilliam Wang val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter 509f3f22d72SYinan Xu // Load violation predictor 5102b8b2e7aSWilliam Wang val lvpred_disable = Output(Bool()) 5112b8b2e7aSWilliam Wang val no_spec_load = Output(Bool()) 5122b8b2e7aSWilliam Wang val waittable_timeout = Output(UInt(5.W)) 513f3f22d72SYinan Xu // Branch predictor 5142b8b2e7aSWilliam Wang val bp_ctrl = Output(new BPUCtrl) 515f3f22d72SYinan Xu // Memory Block 516f3f22d72SYinan Xu val sbuffer_threshold = Output(UInt(4.W)) 517aac4464eSYinan Xu // Rename 518aac4464eSYinan Xu val move_elim_enable = Output(Bool()) 5192b8b2e7aSWilliam Wang} 520