1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 171e3fad10SLinJiaweipackage xiangshan 181e3fad10SLinJiawei 191e3fad10SLinJiaweiimport chisel3._ 205844fcf0SLinJiaweiimport chisel3.util._ 219aca92b9SYinan Xuimport xiangshan.backend.rob.RobPtr 22f06ca0bfSLingrui98import xiangshan.backend.CtrlToFtqIO 23de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode} 245c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr} 2566b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo 26f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter 27bcce877bSYinan Xuimport xiangshan.frontend.{AllFoldedHistories, CircularGlobalHistory, GlobalHistory, ShiftingGlobalHistory} 287447ee13SLingrui98import xiangshan.frontend.RASEntry 292b8b2e7aSWilliam Wangimport xiangshan.frontend.BPUCtrl 30e0d9a9f0SLingrui98import xiangshan.frontend.FtqPtr 31c2ad24ebSLingrui98import xiangshan.frontend.CGHPtr 32e0d9a9f0SLingrui98import xiangshan.frontend.FtqRead 33f06ca0bfSLingrui98import xiangshan.frontend.FtqToCtrlIO 34ceaf5e1fSLingrui98import utils._ 35b0ae3ac4SLinJiawei 362fbdb79bSLingrui98import scala.math.max 37d471c5aeSLingrui98import Chisel.experimental.chiselName 382225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 3988825c5cSYinan Xuimport chisel3.util.BitPat.bitPatToUInt 40bcce877bSYinan Xuimport xiangshan.backend.exu.ExuConfig 41b6982e83SLemoverimport xiangshan.backend.fu.PMPEntry 4214a6653fSLingrui98import xiangshan.frontend.Ftq_Redirect_SRAMEntry 43dd6c0695SLingrui98import xiangshan.frontend.AllFoldedHistories 4467402d75SLingrui98import xiangshan.frontend.AllAheadFoldedHistoryOldestBits 451e3fad10SLinJiawei 46627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle { 473803411bSzhanglinjuan val valid = Bool() 4835fe60e8SLingrui98 val bits = gen.cloneType.asInstanceOf[T] 49fe211d16SLinJiawei 503803411bSzhanglinjuan} 513803411bSzhanglinjuan 52627c0a19Szhanglinjuanobject ValidUndirectioned { 53627c0a19Szhanglinjuan def apply[T <: Data](gen: T) = { 54627c0a19Szhanglinjuan new ValidUndirectioned[T](gen) 553803411bSzhanglinjuan } 563803411bSzhanglinjuan} 573803411bSzhanglinjuan 581b7adedcSWilliam Wangobject RSFeedbackType { 5967682d05SWilliam Wang val tlbMiss = 0.U(3.W) 6067682d05SWilliam Wang val mshrFull = 1.U(3.W) 6167682d05SWilliam Wang val dataInvalid = 2.U(3.W) 6267682d05SWilliam Wang val bankConflict = 3.U(3.W) 6367682d05SWilliam Wang val ldVioCheckRedo = 4.U(3.W) 641b7adedcSWilliam Wang 6567682d05SWilliam Wang def apply() = UInt(3.W) 661b7adedcSWilliam Wang} 671b7adedcSWilliam Wang 682225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle { 69097c2688SLingrui98 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 70097c2688SLingrui98 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 71097c2688SLingrui98 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 7251b2a476Szoujr} 7351b2a476Szoujr 742225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 75f226232fSzhanglinjuan // from backend 7669cafcc9SLingrui98 val pc = UInt(VAddrBits.W) 77f226232fSzhanglinjuan // frontend -> backend -> frontend 78f226232fSzhanglinjuan val pd = new PreDecodeInfo 798a5e9243SLinJiawei val rasSp = UInt(log2Up(RasSize).W) 802e947747SLinJiawei val rasEntry = new RASEntry 81c2ad24ebSLingrui98 // val hist = new ShiftingGlobalHistory 82dd6c0695SLingrui98 val folded_hist = new AllFoldedHistories(foldedGHistInfos) 8367402d75SLingrui98 val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos) 8467402d75SLingrui98 val lastBrNumOH = UInt((numBr+1).W) 85b37e4b45SLingrui98 val ghr = UInt(UbtbGHRLength.W) 86c2ad24ebSLingrui98 val histPtr = new CGHPtr 87e7b046c5Szoujr val specCnt = Vec(numBr, UInt(10.W)) 88fe3a74fcSYinan Xu // need pipeline update 898a597714Szoujr val br_hit = Bool() 902e947747SLinJiawei val predTaken = Bool() 91b2e6921eSLinJiawei val target = UInt(VAddrBits.W) 929a2e6b8aSLinJiawei val taken = Bool() 93b2e6921eSLinJiawei val isMisPred = Bool() 94d0527adfSzoujr val shift = UInt((log2Ceil(numBr)+1).W) 95d0527adfSzoujr val addIntoHist = Bool() 9614a6653fSLingrui98 9714a6653fSLingrui98 def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = { 98c2ad24ebSLingrui98 // this.hist := entry.ghist 99dd6c0695SLingrui98 this.folded_hist := entry.folded_hist 10067402d75SLingrui98 this.lastBrNumOH := entry.lastBrNumOH 10167402d75SLingrui98 this.afhob := entry.afhob 102c2ad24ebSLingrui98 this.histPtr := entry.histPtr 10314a6653fSLingrui98 this.rasSp := entry.rasSp 104c2d1ec7dSLingrui98 this.rasEntry := entry.rasTop 10514a6653fSLingrui98 this 10614a6653fSLingrui98 } 107b2e6921eSLinJiawei} 108b2e6921eSLinJiawei 1095844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer 110de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle { 1115844fcf0SLinJiawei val instr = UInt(32.W) 1125844fcf0SLinJiawei val pc = UInt(VAddrBits.W) 113de169c67SWilliam Wang val foldpc = UInt(MemPredPCWidth.W) 114baf8def6SYinan Xu val exceptionVec = ExceptionVec() 11572951335SLi Qianruo val trigger = new TriggerCf 116faf3cfa9SLinJiawei val pd = new PreDecodeInfo 117cde9280dSLinJiawei val pred_taken = Bool() 118c84054caSLinJiawei val crossPageIPFFix = Bool() 119de169c67SWilliam Wang val storeSetHit = Bool() // inst has been allocated an store set 120980c1bc3SWilliam Wang val waitForRobIdx = new RobPtr // store set predicted previous store robIdx 121d1fe0262SWilliam Wang // Load wait is needed 122d1fe0262SWilliam Wang // load inst will not be executed until former store (predicted by mdp) addr calcuated 123d1fe0262SWilliam Wang val loadWaitBit = Bool() 124d1fe0262SWilliam Wang // If (loadWaitBit && loadWaitStrict), strict load wait is needed 125d1fe0262SWilliam Wang // load inst will not be executed until ALL former store addr calcuated 126d1fe0262SWilliam Wang val loadWaitStrict = Bool() 127de169c67SWilliam Wang val ssid = UInt(SSIDWidth.W) 128884dbb3bSLinJiawei val ftqPtr = new FtqPtr 129884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 1301f0e2dc7SJiawei Lin // This inst will flush all the pipe when it is the oldest inst in ROB, 1311f0e2dc7SJiawei Lin // then replay from this inst itself 1321f0e2dc7SJiawei Lin val replayInst = Bool() 1335844fcf0SLinJiawei} 1345844fcf0SLinJiawei 13572951335SLi Qianruo 1362225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 1372ce29ed6SLinJiawei val isAddSub = Bool() // swap23 138dc597826SJiawei Lin val typeTagIn = UInt(1.W) 139dc597826SJiawei Lin val typeTagOut = UInt(1.W) 1402ce29ed6SLinJiawei val fromInt = Bool() 1412ce29ed6SLinJiawei val wflags = Bool() 1422ce29ed6SLinJiawei val fpWen = Bool() 1432ce29ed6SLinJiawei val fmaCmd = UInt(2.W) 1442ce29ed6SLinJiawei val div = Bool() 1452ce29ed6SLinJiawei val sqrt = Bool() 1462ce29ed6SLinJiawei val fcvt = Bool() 1472ce29ed6SLinJiawei val typ = UInt(2.W) 1482ce29ed6SLinJiawei val fmt = UInt(2.W) 1492ce29ed6SLinJiawei val ren3 = Bool() //TODO: remove SrcType.fp 150e6c6b64fSLinJiawei val rm = UInt(3.W) 151579b9f28SLinJiawei} 152579b9f28SLinJiawei 1535844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage 1542225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle { 15520e31bd1SYinan Xu val srcType = Vec(3, SrcType()) 15620e31bd1SYinan Xu val lsrc = Vec(3, UInt(5.W)) 1579a2e6b8aSLinJiawei val ldest = UInt(5.W) 1589a2e6b8aSLinJiawei val fuType = FuType() 1599a2e6b8aSLinJiawei val fuOpType = FuOpType() 1609a2e6b8aSLinJiawei val rfWen = Bool() 1619a2e6b8aSLinJiawei val fpWen = Bool() 1629a2e6b8aSLinJiawei val isXSTrap = Bool() 1632d366136SLinJiawei val noSpecExec = Bool() // wait forward 1642d366136SLinJiawei val blockBackward = Bool() // block backward 16545a56a29SZhangZifei val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 166c2a8ae00SYikeZhou val selImm = SelImm() 167b0ae3ac4SLinJiawei val imm = UInt(ImmUnion.maxLen.W) 168a3edac52SYinan Xu val commitType = CommitType() 169579b9f28SLinJiawei val fpu = new FPUCtrlSignals 170aac4464eSYinan Xu val isMove = Bool() 171d4aca96cSlqre val singleStep = Bool() 172c88c3a2aSYinan Xu // This inst will flush all the pipe when it is the oldest inst in ROB, 173c88c3a2aSYinan Xu // then replay from this inst itself 174c88c3a2aSYinan Xu val replayInst = Bool() 175be25371aSYikeZhou 17688825c5cSYinan Xu private def allSignals = srcType ++ Seq(fuType, fuOpType, rfWen, fpWen, 1776e7c9679Shuxuan0307 isXSTrap, noSpecExec, blockBackward, flushPipe, selImm) 17888825c5cSYinan Xu 17988825c5cSYinan Xu def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = { 18088825c5cSYinan Xu val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table) 18188825c5cSYinan Xu allSignals zip decoder foreach { case (s, d) => s := d } 1824d24c305SYikeZhou commitType := DontCare 183be25371aSYikeZhou this 184be25371aSYikeZhou } 18588825c5cSYinan Xu 18688825c5cSYinan Xu def decode(bit: List[BitPat]): CtrlSignals = { 18788825c5cSYinan Xu allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d } 18888825c5cSYinan Xu this 18988825c5cSYinan Xu } 190b6900d94SYinan Xu 191b6900d94SYinan Xu def isWFI: Bool = fuType === FuType.csr && fuOpType === CSROpType.wfi 192f025d715SYinan Xu def isSoftPrefetch: Bool = { 193f025d715SYinan Xu fuType === FuType.alu && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U 194f025d715SYinan Xu } 1955844fcf0SLinJiawei} 1965844fcf0SLinJiawei 1972225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle { 1985844fcf0SLinJiawei val cf = new CtrlFlow 1995844fcf0SLinJiawei val ctrl = new CtrlSignals 2005844fcf0SLinJiawei} 2015844fcf0SLinJiawei 2022225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle { 2038b8e745dSYikeZhou val eliminatedMove = Bool() 204ba4100caSYinan Xu // val fetchTime = UInt(64.W) 205ebb8ebf8SYinan Xu val renameTime = UInt(XLEN.W) 206ebb8ebf8SYinan Xu val dispatchTime = UInt(XLEN.W) 207ebb8ebf8SYinan Xu val enqRsTime = UInt(XLEN.W) 208ebb8ebf8SYinan Xu val selectTime = UInt(XLEN.W) 209ebb8ebf8SYinan Xu val issueTime = UInt(XLEN.W) 210ebb8ebf8SYinan Xu val writebackTime = UInt(XLEN.W) 2117cef916fSYinan Xu // val commitTime = UInt(64.W) 21220edb3f7SWilliam Wang val runahead_checkpoint_id = UInt(64.W) 213ba4100caSYinan Xu} 214ba4100caSYinan Xu 21548d1472eSWilliam Wang// Separate LSQ 2162225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle { 217915c0dd4SYinan Xu val lqIdx = new LqPtr 2185c1ae31bSYinan Xu val sqIdx = new SqPtr 21924726fbfSWilliam Wang} 22024726fbfSWilliam Wang 221b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage 2222225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl { 22320e31bd1SYinan Xu val srcState = Vec(3, SrcState()) 22420e31bd1SYinan Xu val psrc = Vec(3, UInt(PhyRegIdxWidth.W)) 22520e31bd1SYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 22620e31bd1SYinan Xu val old_pdest = UInt(PhyRegIdxWidth.W) 2279aca92b9SYinan Xu val robIdx = new RobPtr 228fe6452fcSYinan Xu val lqIdx = new LqPtr 229fe6452fcSYinan Xu val sqIdx = new SqPtr 2308b8e745dSYikeZhou val eliminatedMove = Bool() 2317cef916fSYinan Xu val debugInfo = new PerfDebugInfo 2329d4e1137SYinan Xu def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = { 233bcce877bSYinan Xu val stateReady = srcState(index) === SrcState.rdy || ignoreState.B 234bcce877bSYinan Xu val readReg = if (isFp) { 235bcce877bSYinan Xu ctrl.srcType(index) === SrcType.fp 236bcce877bSYinan Xu } else { 237bcce877bSYinan Xu ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U 238a338f247SYinan Xu } 239bcce877bSYinan Xu readReg && stateReady 240a338f247SYinan Xu } 2415c7674feSYinan Xu def srcIsReady: Vec[Bool] = { 242c9ebdf90SYinan Xu VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy }) 2435c7674feSYinan Xu } 2446ab6918fSYinan Xu def clearExceptions( 2456ab6918fSYinan Xu exceptionBits: Seq[Int] = Seq(), 2466ab6918fSYinan Xu flushPipe: Boolean = false, 2476ab6918fSYinan Xu replayInst: Boolean = false 2486ab6918fSYinan Xu ): MicroOp = { 2496ab6918fSYinan Xu cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B) 2506ab6918fSYinan Xu if (!flushPipe) { ctrl.flushPipe := false.B } 2516ab6918fSYinan Xu if (!replayInst) { ctrl.replayInst := false.B } 252c88c3a2aSYinan Xu this 253c88c3a2aSYinan Xu } 254a19215ddSYinan Xu // Assume only the LUI instruction is decoded with IMM_U in ALU. 255a19215ddSYinan Xu def isLUI: Bool = ctrl.selImm === SelImm.IMM_U && ctrl.fuType === FuType.alu 256bcce877bSYinan Xu // This MicroOp is used to wakeup another uop (the successor: (psrc, srcType). 257bcce877bSYinan Xu def wakeup(successor: Seq[(UInt, UInt)], exuCfg: ExuConfig): Seq[(Bool, Bool)] = { 258bcce877bSYinan Xu successor.map{ case (src, srcType) => 259bcce877bSYinan Xu val pdestMatch = pdest === src 260bcce877bSYinan Xu // For state: no need to check whether src is x0/imm/pc because they are always ready. 261bcce877bSYinan Xu val rfStateMatch = if (exuCfg.readIntRf) ctrl.rfWen else false.B 262bcce877bSYinan Xu val fpMatch = if (exuCfg.readFpRf) ctrl.fpWen else false.B 263bcce877bSYinan Xu val bothIntFp = exuCfg.readIntRf && exuCfg.readFpRf 264bcce877bSYinan Xu val bothStateMatch = Mux(SrcType.regIsFp(srcType), fpMatch, rfStateMatch) 265bcce877bSYinan Xu val stateCond = pdestMatch && (if (bothIntFp) bothStateMatch else rfStateMatch || fpMatch) 266bcce877bSYinan Xu // For data: types are matched and int pdest is not $zero. 267bcce877bSYinan Xu val rfDataMatch = if (exuCfg.readIntRf) ctrl.rfWen && src =/= 0.U else false.B 268bcce877bSYinan Xu val dataCond = pdestMatch && (rfDataMatch && SrcType.isReg(srcType) || fpMatch && SrcType.isFp(srcType)) 269bcce877bSYinan Xu (stateCond, dataCond) 270bcce877bSYinan Xu } 271bcce877bSYinan Xu } 272bcce877bSYinan Xu // This MicroOp is used to wakeup another uop (the successor: MicroOp). 273bcce877bSYinan Xu def wakeup(successor: MicroOp, exuCfg: ExuConfig): Seq[(Bool, Bool)] = { 274bcce877bSYinan Xu wakeup(successor.psrc.zip(successor.ctrl.srcType), exuCfg) 275bcce877bSYinan Xu } 27674515c5aSYinan Xu def isJump: Bool = FuType.isJumpExu(ctrl.fuType) 2775844fcf0SLinJiawei} 2785844fcf0SLinJiawei 27946f74b57SHaojin Tangclass XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle { 280de169c67SWilliam Wang val uop = new MicroOp 28146f74b57SHaojin Tang} 28246f74b57SHaojin Tang 28346f74b57SHaojin Tangclass MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp { 284de169c67SWilliam Wang val flag = UInt(1.W) 285de169c67SWilliam Wang} 286de169c67SWilliam Wang 2872225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle { 2889aca92b9SYinan Xu val robIdx = new RobPtr 28936d7aed5SLinJiawei val ftqIdx = new FtqPtr 29036d7aed5SLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 291bfb958a3SYinan Xu val level = RedirectLevel() 292bfb958a3SYinan Xu val interrupt = Bool() 293c778d2afSLinJiawei val cfiUpdate = new CfiUpdateInfo 294bfb958a3SYinan Xu 295de169c67SWilliam Wang val stFtqIdx = new FtqPtr // for load violation predict 296de169c67SWilliam Wang val stFtqOffset = UInt(log2Up(PredictWidth).W) 297fe211d16SLinJiawei 29820edb3f7SWilliam Wang val debug_runahead_checkpoint_id = UInt(64.W) 29920edb3f7SWilliam Wang 3002d7c7105SYinan Xu // def isUnconditional() = RedirectLevel.isUnconditional(level) 301bfb958a3SYinan Xu def flushItself() = RedirectLevel.flushItself(level) 3022d7c7105SYinan Xu // def isException() = RedirectLevel.isException(level) 303a25b1bceSLinJiawei} 304a25b1bceSLinJiawei 3052225d46eSJiawei Linclass Dp1ToDp2IO(implicit p: Parameters) extends XSBundle { 3065c7b21d5SYinan Xu val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 3075c7b21d5SYinan Xu val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp)) 3085c7b21d5SYinan Xu val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp)) 3095844fcf0SLinJiawei} 3105844fcf0SLinJiawei 3112b4e8253SYinan Xuclass ResetPregStateReq(implicit p: Parameters) extends XSBundle { 31260deaca2SLinJiawei // NOTE: set isInt and isFp both to 'false' when invalid 31360deaca2SLinJiawei val isInt = Bool() 31460deaca2SLinJiawei val isFp = Bool() 31560deaca2SLinJiawei val preg = UInt(PhyRegIdxWidth.W) 3165844fcf0SLinJiawei} 3175844fcf0SLinJiawei 3182225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle { 31972235fa4SWilliam Wang val isMMIO = Bool() 3208635f18fSwangkaifan val isPerfCnt = Bool() 3218b91a337SWilliam Wang val paddr = UInt(PAddrBits.W) 32272951335SLi Qianruo val vaddr = UInt(VAddrBits.W) 323e402d94eSWilliam Wang} 3245844fcf0SLinJiawei 32546f74b57SHaojin Tangclass ExuInput(implicit p: Parameters) extends XSBundleWithMicroOp { 326dc597826SJiawei Lin val src = Vec(3, UInt(XLEN.W)) 3275844fcf0SLinJiawei} 3285844fcf0SLinJiawei 32946f74b57SHaojin Tangclass ExuOutput(implicit p: Parameters) extends XSBundleWithMicroOp { 330dc597826SJiawei Lin val data = UInt(XLEN.W) 3317f1506e3SLinJiawei val fflags = UInt(5.W) 33297cfa7f8SLinJiawei val redirectValid = Bool() 33397cfa7f8SLinJiawei val redirect = new Redirect 334e402d94eSWilliam Wang val debug = new DebugBundle 3355844fcf0SLinJiawei} 3365844fcf0SLinJiawei 3372225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 33835bfeecbSYinan Xu val mtip = Input(Bool()) 33935bfeecbSYinan Xu val msip = Input(Bool()) 34035bfeecbSYinan Xu val meip = Input(Bool()) 341b3d79b37SYinan Xu val seip = Input(Bool()) 342d4aca96cSlqre val debug = Input(Bool()) 3435844fcf0SLinJiawei} 3445844fcf0SLinJiawei 3452225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle { 34635bfeecbSYinan Xu val exception = Flipped(ValidIO(new MicroOp)) 3473fa7b737SYinan Xu val isInterrupt = Input(Bool()) 34835bfeecbSYinan Xu val memExceptionVAddr = Input(UInt(VAddrBits.W)) 34935bfeecbSYinan Xu val trapTarget = Output(UInt(VAddrBits.W)) 35035bfeecbSYinan Xu val externalInterrupt = new ExternalInterruptIO 35135bfeecbSYinan Xu val interrupt = Output(Bool()) 35235bfeecbSYinan Xu} 35335bfeecbSYinan Xu 35446f74b57SHaojin Tangclass ExceptionInfo(implicit p: Parameters) extends XSBundleWithMicroOp { 3553a474d38SYinan Xu val isInterrupt = Bool() 3563a474d38SYinan Xu} 3573a474d38SYinan Xu 3589aca92b9SYinan Xuclass RobCommitInfo(implicit p: Parameters) extends XSBundle { 359fe6452fcSYinan Xu val ldest = UInt(5.W) 360fe6452fcSYinan Xu val rfWen = Bool() 361fe6452fcSYinan Xu val fpWen = Bool() 362a1fd7de4SLinJiawei val wflags = Bool() 363fe6452fcSYinan Xu val commitType = CommitType() 364fe6452fcSYinan Xu val pdest = UInt(PhyRegIdxWidth.W) 365fe6452fcSYinan Xu val old_pdest = UInt(PhyRegIdxWidth.W) 366884dbb3bSLinJiawei val ftqIdx = new FtqPtr 367884dbb3bSLinJiawei val ftqOffset = UInt(log2Up(PredictWidth).W) 368ccfddc82SHaojin Tang val isMove = Bool() 3695844fcf0SLinJiawei 3709ecac1e8SYinan Xu // these should be optimized for synthesis verilog 3719ecac1e8SYinan Xu val pc = UInt(VAddrBits.W) 372fe6452fcSYinan Xu} 3735844fcf0SLinJiawei 3749aca92b9SYinan Xuclass RobCommitIO(implicit p: Parameters) extends XSBundle { 375ccfddc82SHaojin Tang val isCommit = Bool() 376ccfddc82SHaojin Tang val commitValid = Vec(CommitWidth, Bool()) 3776474c47fSYinan Xu 378ccfddc82SHaojin Tang val isWalk = Bool() 379c51eab43SYinan Xu // valid bits optimized for walk 380ccfddc82SHaojin Tang val walkValid = Vec(CommitWidth, Bool()) 3816474c47fSYinan Xu 382ccfddc82SHaojin Tang val info = Vec(CommitWidth, new RobCommitInfo) 38321e7a6c5SYinan Xu 3846474c47fSYinan Xu def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR 3856474c47fSYinan Xu def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR 3865844fcf0SLinJiawei} 3875844fcf0SLinJiawei 3881b7adedcSWilliam Wangclass RSFeedback(implicit p: Parameters) extends XSBundle { 38964e8d8bdSZhangZifei val rsIdx = UInt(log2Up(IssQueSize).W) 390037a131fSWilliam Wang val hit = Bool() 39162f57a35SLemover val flushState = Bool() 3921b7adedcSWilliam Wang val sourceType = RSFeedbackType() 393c7160cd3SWilliam Wang val dataInvalidSqIdx = new SqPtr 394037a131fSWilliam Wang} 395037a131fSWilliam Wang 396d87b76aaSWilliam Wangclass MemRSFeedbackIO(implicit p: Parameters) extends XSBundle { 397d87b76aaSWilliam Wang // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO 398d87b76aaSWilliam Wang // for instance: MemRSFeedbackIO()(updateP) 399d87b76aaSWilliam Wang val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss 400d87b76aaSWilliam Wang val feedbackFast = ValidIO(new RSFeedback()) // bank conflict 401d87b76aaSWilliam Wang val rsIdx = Input(UInt(log2Up(IssQueSize).W)) 402d87b76aaSWilliam Wang val isFirstIssue = Input(Bool()) 403d87b76aaSWilliam Wang} 404d87b76aaSWilliam Wang 405f06ca0bfSLingrui98class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle { 4065844fcf0SLinJiawei // to backend end 4075844fcf0SLinJiawei val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 408f06ca0bfSLingrui98 val fromFtq = new FtqToCtrlIO 4095844fcf0SLinJiawei // from backend 410f06ca0bfSLingrui98 val toFtq = Flipped(new CtrlToFtqIO) 4111e3fad10SLinJiawei} 412fcff7e94SZhangZifei 413f1fe8698SLemoverclass SatpStruct(implicit p: Parameters) extends XSBundle { 41445f497a4Shappy-lx val mode = UInt(4.W) 41545f497a4Shappy-lx val asid = UInt(16.W) 41645f497a4Shappy-lx val ppn = UInt(44.W) 41745f497a4Shappy-lx} 41845f497a4Shappy-lx 419f1fe8698SLemoverclass TlbSatpBundle(implicit p: Parameters) extends SatpStruct { 42045f497a4Shappy-lx val changed = Bool() 42145f497a4Shappy-lx 42245f497a4Shappy-lx def apply(satp_value: UInt): Unit = { 42345f497a4Shappy-lx require(satp_value.getWidth == XLEN) 42445f497a4Shappy-lx val sa = satp_value.asTypeOf(new SatpStruct) 42545f497a4Shappy-lx mode := sa.mode 42645f497a4Shappy-lx asid := sa.asid 427f1fe8698SLemover ppn := Cat(0.U(44-PAddrBits), sa.ppn(PAddrBits-1, 0)).asUInt() 42845f497a4Shappy-lx changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush 42945f497a4Shappy-lx } 430fcff7e94SZhangZifei} 431f1fe8698SLemover 432f1fe8698SLemoverclass TlbCsrBundle(implicit p: Parameters) extends XSBundle { 433f1fe8698SLemover val satp = new TlbSatpBundle() 434fcff7e94SZhangZifei val priv = new Bundle { 435fcff7e94SZhangZifei val mxr = Bool() 436fcff7e94SZhangZifei val sum = Bool() 437fcff7e94SZhangZifei val imode = UInt(2.W) 438fcff7e94SZhangZifei val dmode = UInt(2.W) 439fcff7e94SZhangZifei } 4408fc4e859SZhangZifei 4418fc4e859SZhangZifei override def toPrintable: Printable = { 4428fc4e859SZhangZifei p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 4438fc4e859SZhangZifei p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 4448fc4e859SZhangZifei } 445fcff7e94SZhangZifei} 446fcff7e94SZhangZifei 4472225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle { 448fcff7e94SZhangZifei val valid = Bool() 449fcff7e94SZhangZifei val bits = new Bundle { 450fcff7e94SZhangZifei val rs1 = Bool() 451fcff7e94SZhangZifei val rs2 = Bool() 452fcff7e94SZhangZifei val addr = UInt(VAddrBits.W) 45345f497a4Shappy-lx val asid = UInt(AsidLength.W) 454f1fe8698SLemover val flushPipe = Bool() 455fcff7e94SZhangZifei } 4568fc4e859SZhangZifei 4578fc4e859SZhangZifei override def toPrintable: Printable = { 458f1fe8698SLemover p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}" 4598fc4e859SZhangZifei } 460fcff7e94SZhangZifei} 461a165bd69Swangkaifan 462de169c67SWilliam Wang// Bundle for load violation predictor updating 463de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 4642b8b2e7aSWilliam Wang val valid = Bool() 465de169c67SWilliam Wang 466de169c67SWilliam Wang // wait table update 467de169c67SWilliam Wang val waddr = UInt(MemPredPCWidth.W) 4682b8b2e7aSWilliam Wang val wdata = Bool() // true.B by default 469de169c67SWilliam Wang 470de169c67SWilliam Wang // store set update 471de169c67SWilliam Wang // by default, ldpc/stpc should be xor folded 472de169c67SWilliam Wang val ldpc = UInt(MemPredPCWidth.W) 473de169c67SWilliam Wang val stpc = UInt(MemPredPCWidth.W) 4742b8b2e7aSWilliam Wang} 4752b8b2e7aSWilliam Wang 4762225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 4772b8b2e7aSWilliam Wang // Prefetcher 478ecccf78fSJay val l1I_pf_enable = Output(Bool()) 4792b8b2e7aSWilliam Wang val l2_pf_enable = Output(Bool()) 480ecccf78fSJay // ICache 481ecccf78fSJay val icache_parity_enable = Output(Bool()) 482f3f22d72SYinan Xu // Labeled XiangShan 4832b8b2e7aSWilliam Wang val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter 484f3f22d72SYinan Xu // Load violation predictor 4852b8b2e7aSWilliam Wang val lvpred_disable = Output(Bool()) 4862b8b2e7aSWilliam Wang val no_spec_load = Output(Bool()) 487c7160cd3SWilliam Wang val storeset_wait_store = Output(Bool()) 488c7160cd3SWilliam Wang val storeset_no_fast_wakeup = Output(Bool()) 489c7160cd3SWilliam Wang val lvpred_timeout = Output(UInt(5.W)) 490f3f22d72SYinan Xu // Branch predictor 4912b8b2e7aSWilliam Wang val bp_ctrl = Output(new BPUCtrl) 492f3f22d72SYinan Xu // Memory Block 493f3f22d72SYinan Xu val sbuffer_threshold = Output(UInt(4.W)) 494d10a581eSWilliam Wang val ldld_vio_check_enable = Output(Bool()) 495d10a581eSWilliam Wang val soft_prefetch_enable = Output(Bool()) 496a4e57ea3SLi Qianruo val cache_error_enable = Output(Bool()) 497aac4464eSYinan Xu // Rename 498*5b47c58cSYinan Xu val fusion_enable = Output(Bool()) 499*5b47c58cSYinan Xu val wfi_enable = Output(Bool()) 500af2f7849Shappy-lx // Decode 501af2f7849Shappy-lx val svinval_enable = Output(Bool()) 502af2f7849Shappy-lx 503b6982e83SLemover // distribute csr write signal 504b6982e83SLemover val distribute_csr = new DistributedCSRIO() 50572951335SLi Qianruo 506ddb65c47SLi Qianruo val singlestep = Output(Bool()) 50772951335SLi Qianruo val frontend_trigger = new FrontendTdataDistributeIO() 50872951335SLi Qianruo val mem_trigger = new MemTdataDistributeIO() 50972951335SLi Qianruo val trigger_enable = Output(Vec(10, Bool())) 510b6982e83SLemover} 511b6982e83SLemover 512b6982e83SLemoverclass DistributedCSRIO(implicit p: Parameters) extends XSBundle { 5131c746d3aScui fliter // CSR has been written by csr inst, copies of csr should be updated 514b6982e83SLemover val w = ValidIO(new Bundle { 515b6982e83SLemover val addr = Output(UInt(12.W)) 516b6982e83SLemover val data = Output(UInt(XLEN.W)) 517b6982e83SLemover }) 5182b8b2e7aSWilliam Wang} 519e19f7967SWilliam Wang 520e19f7967SWilliam Wangclass DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle { 521e19f7967SWilliam Wang // Request csr to be updated 522e19f7967SWilliam Wang // 523e19f7967SWilliam Wang // Note that this request will ONLY update CSR Module it self, 524e19f7967SWilliam Wang // copies of csr will NOT be updated, use it with care! 525e19f7967SWilliam Wang // 526e19f7967SWilliam Wang // For each cycle, no more than 1 DistributedCSRUpdateReq is valid 527e19f7967SWilliam Wang val w = ValidIO(new Bundle { 528e19f7967SWilliam Wang val addr = Output(UInt(12.W)) 529e19f7967SWilliam Wang val data = Output(UInt(XLEN.W)) 530e19f7967SWilliam Wang }) 531e19f7967SWilliam Wang def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = { 532e19f7967SWilliam Wang when(valid){ 533e19f7967SWilliam Wang w.bits.addr := addr 534e19f7967SWilliam Wang w.bits.data := data 535e19f7967SWilliam Wang } 536e19f7967SWilliam Wang println("Distributed CSR update req registered for " + src_description) 537e19f7967SWilliam Wang } 538e19f7967SWilliam Wang} 53972951335SLi Qianruo 5400f59c834SWilliam Wangclass L1CacheErrorInfo(implicit p: Parameters) extends XSBundle { 5410f59c834SWilliam Wang // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR 5420f59c834SWilliam Wang val source = Output(new Bundle() { 5430f59c834SWilliam Wang val tag = Bool() // l1 tag array 5440f59c834SWilliam Wang val data = Bool() // l1 data array 5450f59c834SWilliam Wang val l2 = Bool() 5460f59c834SWilliam Wang }) 5470f59c834SWilliam Wang val opType = Output(new Bundle() { 5480f59c834SWilliam Wang val fetch = Bool() 5490f59c834SWilliam Wang val load = Bool() 5500f59c834SWilliam Wang val store = Bool() 5510f59c834SWilliam Wang val probe = Bool() 5520f59c834SWilliam Wang val release = Bool() 5530f59c834SWilliam Wang val atom = Bool() 5540f59c834SWilliam Wang }) 5550f59c834SWilliam Wang val paddr = Output(UInt(PAddrBits.W)) 5560f59c834SWilliam Wang 5570f59c834SWilliam Wang // report error and paddr to beu 5580f59c834SWilliam Wang // bus error unit will receive error info iff ecc_error.valid 5590f59c834SWilliam Wang val report_to_beu = Output(Bool()) 5600f59c834SWilliam Wang 5610f59c834SWilliam Wang // there is an valid error 5620f59c834SWilliam Wang // l1 cache error will always be report to CACHE_ERROR csr 5630f59c834SWilliam Wang val valid = Output(Bool()) 5640f59c834SWilliam Wang 5650f59c834SWilliam Wang def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = { 5660f59c834SWilliam Wang val beu_info = Wire(new L1BusErrorUnitInfo) 5670f59c834SWilliam Wang beu_info.ecc_error.valid := report_to_beu 5680f59c834SWilliam Wang beu_info.ecc_error.bits := paddr 5690f59c834SWilliam Wang beu_info 5700f59c834SWilliam Wang } 5710f59c834SWilliam Wang} 572bc63e578SLi Qianruo 573bc63e578SLi Qianruo/* TODO how to trigger on next inst? 574bc63e578SLi Qianruo1. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep 575bc63e578SLi Qianruo2. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set 576bc63e578SLi Qianruoxret csr to pc + 4/ + 2 577bc63e578SLi Qianruo2.5 The problem is to let it commit. This is the real TODO 578bc63e578SLi Qianruo3. If it is load and hit before just treat it as regular load exception 579bc63e578SLi Qianruo */ 580bc63e578SLi Qianruo 581bc63e578SLi Qianruo// This bundle carries trigger hit info along the pipeline 582bc63e578SLi Qianruo// Now there are 10 triggers divided into 5 groups of 2 583bc63e578SLi Qianruo// These groups are 584bc63e578SLi Qianruo// (if if) (store store) (load loid) (if store) (if load) 585bc63e578SLi Qianruo 586bc63e578SLi Qianruo// Triggers in the same group can chain, meaning that they only 587bc63e578SLi Qianruo// fire is both triggers in the group matches (the triggerHitVec bit is asserted) 588bc63e578SLi Qianruo// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i) 589bc63e578SLi Qianruo// Timing of 0 means trap at current inst, 1 means trap at next inst 590bc63e578SLi Qianruo// Chaining and timing and the validness of a trigger is controlled by csr 591bc63e578SLi Qianruo// In two chained triggers, if they have different timing, both won't fire 59284e47f35SLi Qianruo//class TriggerCf (implicit p: Parameters) extends XSBundle { 59384e47f35SLi Qianruo// val triggerHitVec = Vec(10, Bool()) 59484e47f35SLi Qianruo// val triggerTiming = Vec(10, Bool()) 59584e47f35SLi Qianruo// val triggerChainVec = Vec(5, Bool()) 59684e47f35SLi Qianruo//} 59784e47f35SLi Qianruo 59872951335SLi Qianruoclass TriggerCf(implicit p: Parameters) extends XSBundle { 59984e47f35SLi Qianruo // frontend 60084e47f35SLi Qianruo val frontendHit = Vec(4, Bool()) 601ddb65c47SLi Qianruo// val frontendTiming = Vec(4, Bool()) 602ddb65c47SLi Qianruo// val frontendHitNext = Vec(4, Bool()) 60384e47f35SLi Qianruo 604ddb65c47SLi Qianruo// val frontendException = Bool() 60584e47f35SLi Qianruo // backend 60684e47f35SLi Qianruo val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4) 60784e47f35SLi Qianruo val backendHit = Vec(6, Bool()) 608ddb65c47SLi Qianruo// val backendTiming = Vec(6, Bool()) // trigger enable fro chain 60984e47f35SLi Qianruo 61084e47f35SLi Qianruo // Two situations not allowed: 61184e47f35SLi Qianruo // 1. load data comparison 61284e47f35SLi Qianruo // 2. store chaining with store 61384e47f35SLi Qianruo def getHitFrontend = frontendHit.reduce(_ || _) 61484e47f35SLi Qianruo def getHitBackend = backendHit.reduce(_ || _) 615ddb65c47SLi Qianruo def hit = getHitFrontend || getHitBackend 616d7dd1af1SLi Qianruo def clear(): Unit = { 617d7dd1af1SLi Qianruo frontendHit.foreach(_ := false.B) 618d7dd1af1SLi Qianruo backendEn.foreach(_ := false.B) 619d7dd1af1SLi Qianruo backendHit.foreach(_ := false.B) 620d7dd1af1SLi Qianruo } 62172951335SLi Qianruo} 62272951335SLi Qianruo 623bc63e578SLi Qianruo// these 3 bundles help distribute trigger control signals from CSR 624bc63e578SLi Qianruo// to Frontend, Load and Store. 62572951335SLi Qianruoclass FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle { 62672951335SLi Qianruo val t = Valid(new Bundle { 62772951335SLi Qianruo val addr = Output(UInt(2.W)) 62872951335SLi Qianruo val tdata = new MatchTriggerIO 62972951335SLi Qianruo }) 63072951335SLi Qianruo } 63172951335SLi Qianruo 63272951335SLi Qianruoclass MemTdataDistributeIO(implicit p: Parameters) extends XSBundle { 63372951335SLi Qianruo val t = Valid(new Bundle { 63472951335SLi Qianruo val addr = Output(UInt(3.W)) 63572951335SLi Qianruo val tdata = new MatchTriggerIO 63672951335SLi Qianruo }) 63772951335SLi Qianruo} 63872951335SLi Qianruo 63972951335SLi Qianruoclass MatchTriggerIO(implicit p: Parameters) extends XSBundle { 64072951335SLi Qianruo val matchType = Output(UInt(2.W)) 64172951335SLi Qianruo val select = Output(Bool()) 64272951335SLi Qianruo val timing = Output(Bool()) 64372951335SLi Qianruo val action = Output(Bool()) 64472951335SLi Qianruo val chain = Output(Bool()) 64572951335SLi Qianruo val tdata2 = Output(UInt(64.W)) 64672951335SLi Qianruo} 647