xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision 57c3c8dea3edb298ac3229cf764c4e52bf00e40e)
11e3fad10SLinJiaweipackage xiangshan
21e3fad10SLinJiawei
31e3fad10SLinJiaweiimport chisel3._
45844fcf0SLinJiaweiimport chisel3.util._
5bfa4b2b4SLinJiaweiimport xiangshan.backend.brq.BrqPtr
6d150fc4eSlinjiaweiimport xiangshan.backend.fu.fpu.Fflags
70851457fSLinJiaweiimport xiangshan.backend.rename.FreeListPtr
842707b3bSYinan Xuimport xiangshan.backend.roq.RoqPtr
95c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr}
1066b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo
11f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter
12f3501106SGouLingruiimport xiangshan.frontend.HasTageParameter
13ceaf5e1fSLingrui98import xiangshan.frontend.HasIFUConst
14ceaf5e1fSLingrui98import utils._
152fbdb79bSLingrui98import scala.math.max
161e3fad10SLinJiawei
175844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache
181e3fad10SLinJiaweiclass FetchPacket extends XSBundle {
1928958354Szhanglinjuan  val instrs = Vec(PredictWidth, UInt(32.W))
2028958354Szhanglinjuan  val mask = UInt(PredictWidth.W)
2142696a74Szhanglinjuan  // val pc = UInt(VAddrBits.W)
2242696a74Szhanglinjuan  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
2328958354Szhanglinjuan  val pnpc = Vec(PredictWidth, UInt(VAddrBits.W))
24a428082bSLinJiawei  val brInfo = Vec(PredictWidth, new BranchInfo)
25a428082bSLinJiawei  val pd = Vec(PredictWidth, new PreDecodeInfo)
265a67e465Szhanglinjuan  val ipf = Bool()
275a67e465Szhanglinjuan  val crossPageIPFFix = Bool()
280f94ebecSzoujr  val predTaken = Bool()
291e3fad10SLinJiawei}
301e3fad10SLinJiawei
31627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
323803411bSzhanglinjuan  val valid = Bool()
3335fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
34627c0a19Szhanglinjuan  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
353803411bSzhanglinjuan}
363803411bSzhanglinjuan
37627c0a19Szhanglinjuanobject ValidUndirectioned {
38627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
39627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
403803411bSzhanglinjuan  }
413803411bSzhanglinjuan}
423803411bSzhanglinjuan
43534e17a9SLingrui98class SCMeta(val useSC: Boolean) extends XSBundle with HasTageParameter {
442fbdb79bSLingrui98  def maxVal = 8 * ((1 << TageCtrBits) - 1) + SCTableInfo.map{case (_,cb,_) => (1 << cb) - 1}.reduce(_+_)
452fbdb79bSLingrui98  def minVal = -(8 * (1 << TageCtrBits) + SCTableInfo.map{case (_,cb,_) => 1 << cb}.reduce(_+_))
462fbdb79bSLingrui98  def sumCtrBits = max(log2Ceil(-minVal), log2Ceil(maxVal+1)) + 1
472fbdb79bSLingrui98  val tageTaken = if (useSC) Bool() else UInt(0.W)
482fbdb79bSLingrui98  val scUsed    = if (useSC) Bool() else UInt(0.W)
492fbdb79bSLingrui98  val scPred    = if (useSC) Bool() else UInt(0.W)
502fbdb79bSLingrui98  // Suppose ctrbits of all tables are identical
512fbdb79bSLingrui98  val ctrs      = if (useSC) Vec(SCNTables, SInt(SCCtrBits.W)) else Vec(SCNTables, SInt(0.W))
526b98bdcbSLingrui98  val sumAbs    = if (useSC) UInt(sumCtrBits.W) else UInt(0.W)
532fbdb79bSLingrui98}
542fbdb79bSLingrui98
55f3501106SGouLingruiclass TageMeta extends XSBundle with HasTageParameter {
56627c0a19Szhanglinjuan  val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
571e7d14a8Szhanglinjuan  val altDiffers = Bool()
581e7d14a8Szhanglinjuan  val providerU = UInt(2.W)
591e7d14a8Szhanglinjuan  val providerCtr = UInt(3.W)
60627c0a19Szhanglinjuan  val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
616b98bdcbSLingrui98  val taken = Bool()
622fbdb79bSLingrui98  val scMeta = new SCMeta(EnableSC)
631e7d14a8Szhanglinjuan}
641e7d14a8Szhanglinjuan
65ceaf5e1fSLingrui98class BranchPrediction extends XSBundle with HasIFUConst {
66ceaf5e1fSLingrui98  // val redirect = Bool()
67ceaf5e1fSLingrui98  val takens = UInt(PredictWidth.W)
68ceaf5e1fSLingrui98  // val jmpIdx = UInt(log2Up(PredictWidth).W)
69ceaf5e1fSLingrui98  val brMask = UInt(PredictWidth.W)
70ceaf5e1fSLingrui98  val jalMask = UInt(PredictWidth.W)
71ceaf5e1fSLingrui98  val targets = Vec(PredictWidth, UInt(VAddrBits.W))
72ceaf5e1fSLingrui98
73ceaf5e1fSLingrui98  // marks the last 2 bytes of this fetch packet
74ceaf5e1fSLingrui98  // val endsAtTheEndOfFirstBank = Bool()
75ceaf5e1fSLingrui98  // val endsAtTheEndOfLastBank = Bool()
76ceaf5e1fSLingrui98
77ceaf5e1fSLingrui98  // half RVI could only start at the end of a bank
78ceaf5e1fSLingrui98  val firstBankHasHalfRVI = Bool()
79ceaf5e1fSLingrui98  val lastBankHasHalfRVI = Bool()
80ceaf5e1fSLingrui98
814b17b4eeSLingrui98  def lastHalfRVIMask = Mux(firstBankHasHalfRVI, UIntToOH((bankWidth-1).U),
824b17b4eeSLingrui98                          Mux(lastBankHasHalfRVI, UIntToOH((PredictWidth-1).U),
83ceaf5e1fSLingrui98                            0.U(PredictWidth.W)
84ceaf5e1fSLingrui98                          )
85ceaf5e1fSLingrui98                        )
86ceaf5e1fSLingrui98
87ceaf5e1fSLingrui98  def lastHalfRVIClearMask = ~lastHalfRVIMask
88ceaf5e1fSLingrui98  // is taken from half RVI
89ceaf5e1fSLingrui98  def lastHalfRVITaken = (takens & lastHalfRVIMask).orR
90ceaf5e1fSLingrui98
91ceaf5e1fSLingrui98  def lastHalfRVIIdx = Mux(firstBankHasHalfRVI, (bankWidth-1).U, (PredictWidth-1).U)
92ceaf5e1fSLingrui98  // should not be used if not lastHalfRVITaken
93ceaf5e1fSLingrui98  def lastHalfRVITarget = Mux(firstBankHasHalfRVI, targets(bankWidth-1), targets(PredictWidth-1))
94ceaf5e1fSLingrui98
95ceaf5e1fSLingrui98  def realTakens  = takens  & lastHalfRVIClearMask
96ceaf5e1fSLingrui98  def realBrMask  = brMask  & lastHalfRVIClearMask
97ceaf5e1fSLingrui98  def realJalMask = jalMask & lastHalfRVIClearMask
98ceaf5e1fSLingrui98
99ceaf5e1fSLingrui98  def brNotTakens = ~realTakens & realBrMask
100ceaf5e1fSLingrui98  def sawNotTakenBr = VecInit((0 until PredictWidth).map(i =>
101ceaf5e1fSLingrui98                       (if (i == 0) false.B else brNotTakens(i-1,0).orR)))
102ceaf5e1fSLingrui98  def hasNotTakenBrs = (brNotTakens & LowerMaskFromLowest(realTakens)).orR
10304fb04efSLingrui98  def saveHalfRVI = (firstBankHasHalfRVI && (jmpIdx === (bankWidth-1).U || !(takens.orR))) ||
10404fb04efSLingrui98                    (lastBankHasHalfRVI  &&  jmpIdx === (PredictWidth-1).U)
105ceaf5e1fSLingrui98  // could get PredictWidth-1 when only the first bank is valid
106ceaf5e1fSLingrui98  def jmpIdx = PriorityEncoder(realTakens)
107ceaf5e1fSLingrui98  // only used when taken
108ceaf5e1fSLingrui98  def target = targets(jmpIdx)
109ceaf5e1fSLingrui98  def taken = realTakens.orR
110ceaf5e1fSLingrui98  def takenOnBr = taken && realBrMask(jmpIdx)
1116fb61704Szhanglinjuan}
1126fb61704Szhanglinjuan
113f00290d7SLingrui98class BranchInfo extends XSBundle with HasBPUParameter {
11453bf6077SLingrui98  val ubtbWriteWay = UInt(log2Up(UBtbWays).W)
115e3aeae54SLingrui98  val ubtbHits = Bool()
11653bf6077SLingrui98  val btbWriteWay = UInt(log2Up(BtbWays).W)
117035fad39SGouLingrui  val btbHitJal = Bool()
118e3aeae54SLingrui98  val bimCtr = UInt(2.W)
11966b0d0c3Szhanglinjuan  val histPtr = UInt(log2Up(ExtHistoryLength).W)
1204a9bbf04SGouLingrui  val predHistPtr = UInt(log2Up(ExtHistoryLength).W)
12145e96f83Szhanglinjuan  val tageMeta = new TageMeta
12245e96f83Szhanglinjuan  val rasSp = UInt(log2Up(RasSize).W)
12345e96f83Szhanglinjuan  val rasTopCtr = UInt(8.W)
124ed809609Sjinyue110  val rasToqAddr = UInt(VAddrBits.W)
125c5ed092cSLingrui98  val fetchIdx = UInt(log2Up(PredictWidth).W)
1267d053a60Szhanglinjuan  val specCnt = UInt(10.W)
1274a5c1190SGouLingrui  val sawNotTakenBranch = Bool()
128f226232fSzhanglinjuan
1293a48285bSGouLingrui  val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
1303a48285bSGouLingrui  val debug_btb_cycle  = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
1313a48285bSGouLingrui  val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
132f226232fSzhanglinjuan
133f226232fSzhanglinjuan  def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = {
134f226232fSzhanglinjuan    this.histPtr := histPtr
135f226232fSzhanglinjuan    this.tageMeta := tageMeta
136f226232fSzhanglinjuan    this.rasSp := rasSp
13780d2974bSLingrui98    this.rasTopCtr := rasTopCtr
138f226232fSzhanglinjuan    this.asUInt
139f226232fSzhanglinjuan  }
140f226232fSzhanglinjuan  def size = 0.U.asTypeOf(this).getWidth
141f226232fSzhanglinjuan  def fromUInt(x: UInt) = x.asTypeOf(this)
14266b0d0c3Szhanglinjuan}
14366b0d0c3Szhanglinjuan
14404fb04efSLingrui98class Predecode extends XSBundle with HasIFUConst {
145ceaf5e1fSLingrui98  val hasLastHalfRVI = Bool()
1462f931f37Szhanglinjuan  val mask = UInt((FetchWidth*2).W)
147*57c3c8deSLingrui98  val lastHalf = UInt(nBanksInPacket.W)
14866b0d0c3Szhanglinjuan  val pd = Vec(FetchWidth*2, (new PreDecodeInfo))
1495844fcf0SLinJiawei}
1505844fcf0SLinJiawei
151b2e6921eSLinJiaweiclass BranchUpdateInfo extends XSBundle {
152f226232fSzhanglinjuan  // from backend
15369cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
154608ba82cSzhanglinjuan  val pnpc = UInt(VAddrBits.W)
15569cafcc9SLingrui98  val target = UInt(VAddrBits.W)
156b2e6921eSLinJiawei  val brTarget = UInt(VAddrBits.W)
157b2e6921eSLinJiawei  val taken = Bool()
158b2e6921eSLinJiawei  val fetchIdx = UInt(log2Up(FetchWidth*2).W)
159b2e6921eSLinJiawei  val isMisPred = Bool()
160e965d004Szhanglinjuan  val brTag = new BrqPtr
161f226232fSzhanglinjuan
162f226232fSzhanglinjuan  // frontend -> backend -> frontend
163f226232fSzhanglinjuan  val pd = new PreDecodeInfo
164f226232fSzhanglinjuan  val brInfo = new BranchInfo
165b2e6921eSLinJiawei}
166b2e6921eSLinJiawei
167b2e6921eSLinJiawei// Dequeue DecodeWidth insts from Ibuffer
168b2e6921eSLinJiaweiclass CtrlFlow extends XSBundle {
169b2e6921eSLinJiawei  val instr = UInt(32.W)
170b2e6921eSLinJiawei  val pc = UInt(VAddrBits.W)
171b2e6921eSLinJiawei  val exceptionVec = Vec(16, Bool())
172b2e6921eSLinJiawei  val intrVec = Vec(12, Bool())
173b2e6921eSLinJiawei  val brUpdate = new BranchUpdateInfo
174c84054caSLinJiawei  val crossPageIPFFix = Bool()
1755844fcf0SLinJiawei}
1765844fcf0SLinJiawei
1775844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
1785844fcf0SLinJiaweiclass CtrlSignals extends XSBundle {
1799a2e6b8aSLinJiawei  val src1Type, src2Type, src3Type = SrcType()
1809a2e6b8aSLinJiawei  val lsrc1, lsrc2, lsrc3 = UInt(5.W)
1819a2e6b8aSLinJiawei  val ldest = UInt(5.W)
1829a2e6b8aSLinJiawei  val fuType = FuType()
1839a2e6b8aSLinJiawei  val fuOpType = FuOpType()
1849a2e6b8aSLinJiawei  val rfWen = Bool()
1859a2e6b8aSLinJiawei  val fpWen = Bool()
1869a2e6b8aSLinJiawei  val isXSTrap = Bool()
1872d366136SLinJiawei  val noSpecExec = Bool()  // wait forward
1882d366136SLinJiawei  val blockBackward  = Bool()  // block backward
18945a56a29SZhangZifei  val flushPipe  = Bool()  // This inst will flush all the pipe when commit, like exception but can commit
190db34a189SLinJiawei  val isRVF = Bool()
191db34a189SLinJiawei  val imm = UInt(XLEN.W)
192a3edac52SYinan Xu  val commitType = CommitType()
1935844fcf0SLinJiawei}
1945844fcf0SLinJiawei
1955844fcf0SLinJiaweiclass CfCtrl extends XSBundle {
1965844fcf0SLinJiawei  val cf = new CtrlFlow
1975844fcf0SLinJiawei  val ctrl = new CtrlSignals
198bfa4b2b4SLinJiawei  val brTag = new BrqPtr
1995844fcf0SLinJiawei}
2005844fcf0SLinJiawei
20124726fbfSWilliam Wang// Load / Store Index
20224726fbfSWilliam Wang//
20324726fbfSWilliam Wang// while separated lq and sq is used, lsIdx consists of lqIdx, sqIdx and l/s type.
20424726fbfSWilliam Wangtrait HasLSIdx { this: HasXSParameter =>
20548d1472eSWilliam Wang  // Separate LSQ
206915c0dd4SYinan Xu  val lqIdx = new LqPtr
2075c1ae31bSYinan Xu  val sqIdx = new SqPtr
208b2e6921eSLinJiawei}
209054d37b6SLinJiawei
21024726fbfSWilliam Wangclass LSIdx extends XSBundle with HasLSIdx {}
2115844fcf0SLinJiawei
212b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
2133dbae6f8SYinan Xuclass MicroOp extends CfCtrl with HasLSIdx {
2149a2e6b8aSLinJiawei  val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W)
2159a2e6b8aSLinJiawei  val src1State, src2State, src3State = SrcState()
21642707b3bSYinan Xu  val roqIdx = new RoqPtr
217355fcd20SAllen  val diffTestDebugLrScValid = Bool()
2185844fcf0SLinJiawei}
2195844fcf0SLinJiawei
2204d8e0a7fSYinan Xuclass Redirect extends XSBundle {
22142707b3bSYinan Xu  val roqIdx = new RoqPtr
22237fcf7fbSLinJiawei  val isException = Bool()
223b2e6921eSLinJiawei  val isMisPred = Bool()
224b2e6921eSLinJiawei  val isReplay = Bool()
22545a56a29SZhangZifei  val isFlushPipe = Bool()
226b2e6921eSLinJiawei  val pc = UInt(VAddrBits.W)
227b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
228b2e6921eSLinJiawei  val brTag = new BrqPtr
229a25b1bceSLinJiawei}
230a25b1bceSLinJiawei
2315844fcf0SLinJiaweiclass Dp1ToDp2IO extends XSBundle {
2325c7b21d5SYinan Xu  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
2335c7b21d5SYinan Xu  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
2345c7b21d5SYinan Xu  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
2355844fcf0SLinJiawei}
2365844fcf0SLinJiawei
23760deaca2SLinJiaweiclass ReplayPregReq extends XSBundle {
23860deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
23960deaca2SLinJiawei  val isInt = Bool()
24060deaca2SLinJiawei  val isFp = Bool()
24160deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
24260deaca2SLinJiawei}
24360deaca2SLinJiawei
244e402d94eSWilliam Wangclass DebugBundle extends XSBundle{
24572235fa4SWilliam Wang  val isMMIO = Bool()
246e402d94eSWilliam Wang}
2475844fcf0SLinJiawei
2485844fcf0SLinJiaweiclass ExuInput extends XSBundle {
2495844fcf0SLinJiawei  val uop = new MicroOp
2509684eb4fSLinJiawei  val src1, src2, src3 = UInt((XLEN+1).W)
2515844fcf0SLinJiawei}
2525844fcf0SLinJiawei
2535844fcf0SLinJiaweiclass ExuOutput extends XSBundle {
2545844fcf0SLinJiawei  val uop = new MicroOp
2559684eb4fSLinJiawei  val data = UInt((XLEN+1).W)
256d150fc4eSlinjiawei  val fflags  = new Fflags
25797cfa7f8SLinJiawei  val redirectValid = Bool()
25897cfa7f8SLinJiawei  val redirect = new Redirect
259b2e6921eSLinJiawei  val brUpdate = new BranchUpdateInfo
260e402d94eSWilliam Wang  val debug = new DebugBundle
2615844fcf0SLinJiawei}
2625844fcf0SLinJiawei
26335bfeecbSYinan Xuclass ExternalInterruptIO extends XSBundle {
26435bfeecbSYinan Xu  val mtip = Input(Bool())
26535bfeecbSYinan Xu  val msip = Input(Bool())
26635bfeecbSYinan Xu  val meip = Input(Bool())
26735bfeecbSYinan Xu}
26835bfeecbSYinan Xu
26935bfeecbSYinan Xuclass CSRSpecialIO extends XSBundle {
27035bfeecbSYinan Xu  val exception = Flipped(ValidIO(new MicroOp))
2713fa7b737SYinan Xu  val isInterrupt = Input(Bool())
27235bfeecbSYinan Xu  val memExceptionVAddr = Input(UInt(VAddrBits.W))
27335bfeecbSYinan Xu  val trapTarget = Output(UInt(VAddrBits.W))
27435bfeecbSYinan Xu  val externalInterrupt = new ExternalInterruptIO
27535bfeecbSYinan Xu  val interrupt = Output(Bool())
27635bfeecbSYinan Xu}
27735bfeecbSYinan Xu
2789684eb4fSLinJiawei//class ExuIO extends XSBundle {
2799684eb4fSLinJiawei//  val in = Flipped(DecoupledIO(new ExuInput))
2809684eb4fSLinJiawei//  val redirect = Flipped(ValidIO(new Redirect))
2819684eb4fSLinJiawei//  val out = DecoupledIO(new ExuOutput)
2829684eb4fSLinJiawei//  // for csr
2839684eb4fSLinJiawei//  val csrOnly = new CSRSpecialIO
2849684eb4fSLinJiawei//  val mcommit = Input(UInt(3.W))
2859684eb4fSLinJiawei//}
2865844fcf0SLinJiawei
2875844fcf0SLinJiaweiclass RoqCommit extends XSBundle {
2885844fcf0SLinJiawei  val uop = new MicroOp
289296e7422SLinJiawei  val isWalk = Bool()
2905844fcf0SLinJiawei}
2915844fcf0SLinJiawei
29242707b3bSYinan Xuclass TlbFeedback extends XSBundle {
29342707b3bSYinan Xu  val roqIdx = new RoqPtr
294037a131fSWilliam Wang  val hit = Bool()
295037a131fSWilliam Wang}
296037a131fSWilliam Wang
2975844fcf0SLinJiaweiclass FrontendToBackendIO extends XSBundle {
2985844fcf0SLinJiawei  // to backend end
2995844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
3005844fcf0SLinJiawei  // from backend
301b2e6921eSLinJiawei  val redirect = Flipped(ValidIO(new Redirect))
302b2e6921eSLinJiawei  val outOfOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
303b2e6921eSLinJiawei  val inOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
3041e3fad10SLinJiawei}
305fcff7e94SZhangZifei
306fcff7e94SZhangZifeiclass TlbCsrBundle extends XSBundle {
307fcff7e94SZhangZifei  val satp = new Bundle {
308fcff7e94SZhangZifei    val mode = UInt(4.W) // TODO: may change number to parameter
309fcff7e94SZhangZifei    val asid = UInt(16.W)
310fcff7e94SZhangZifei    val ppn  = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
311fcff7e94SZhangZifei  }
312fcff7e94SZhangZifei  val priv = new Bundle {
313fcff7e94SZhangZifei    val mxr = Bool()
314fcff7e94SZhangZifei    val sum = Bool()
315fcff7e94SZhangZifei    val imode = UInt(2.W)
316fcff7e94SZhangZifei    val dmode = UInt(2.W)
317fcff7e94SZhangZifei  }
3188fc4e859SZhangZifei
3198fc4e859SZhangZifei  override def toPrintable: Printable = {
3208fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
3218fc4e859SZhangZifei    p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
3228fc4e859SZhangZifei  }
323fcff7e94SZhangZifei}
324fcff7e94SZhangZifei
325fcff7e94SZhangZifeiclass SfenceBundle extends XSBundle {
326fcff7e94SZhangZifei  val valid = Bool()
327fcff7e94SZhangZifei  val bits = new Bundle {
328fcff7e94SZhangZifei    val rs1 = Bool()
329fcff7e94SZhangZifei    val rs2 = Bool()
330fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
331fcff7e94SZhangZifei  }
3328fc4e859SZhangZifei
3338fc4e859SZhangZifei  override def toPrintable: Printable = {
3348fc4e859SZhangZifei    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
3358fc4e859SZhangZifei  }
336fcff7e94SZhangZifei}
337