xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision 579b9f28762b9000ab852a29357f1dcc0e1636a5)
11e3fad10SLinJiaweipackage xiangshan
21e3fad10SLinJiawei
31e3fad10SLinJiaweiimport chisel3._
45844fcf0SLinJiaweiimport chisel3.util._
5bfa4b2b4SLinJiaweiimport xiangshan.backend.brq.BrqPtr
6d150fc4eSlinjiaweiimport xiangshan.backend.fu.fpu.Fflags
70851457fSLinJiaweiimport xiangshan.backend.rename.FreeListPtr
842707b3bSYinan Xuimport xiangshan.backend.roq.RoqPtr
95c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr}
1066b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo
11f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter
12f3501106SGouLingruiimport xiangshan.frontend.HasTageParameter
132fbdb79bSLingrui98import scala.math.max
141e3fad10SLinJiawei
155844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache
161e3fad10SLinJiaweiclass FetchPacket extends XSBundle {
1728958354Szhanglinjuan  val instrs = Vec(PredictWidth, UInt(32.W))
1828958354Szhanglinjuan  val mask = UInt(PredictWidth.W)
1942696a74Szhanglinjuan  // val pc = UInt(VAddrBits.W)
2042696a74Szhanglinjuan  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
2128958354Szhanglinjuan  val pnpc = Vec(PredictWidth, UInt(VAddrBits.W))
22a428082bSLinJiawei  val brInfo = Vec(PredictWidth, new BranchInfo)
23a428082bSLinJiawei  val pd = Vec(PredictWidth, new PreDecodeInfo)
245a67e465Szhanglinjuan  val ipf = Bool()
255a67e465Szhanglinjuan  val crossPageIPFFix = Bool()
260f94ebecSzoujr  val predTaken = Bool()
271e3fad10SLinJiawei}
281e3fad10SLinJiawei
29627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
303803411bSzhanglinjuan  val valid = Bool()
3135fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
32627c0a19Szhanglinjuan  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
333803411bSzhanglinjuan}
343803411bSzhanglinjuan
35627c0a19Szhanglinjuanobject ValidUndirectioned {
36627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
37627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
383803411bSzhanglinjuan  }
393803411bSzhanglinjuan}
403803411bSzhanglinjuan
41534e17a9SLingrui98class SCMeta(val useSC: Boolean) extends XSBundle with HasTageParameter {
422fbdb79bSLingrui98  def maxVal = 8 * ((1 << TageCtrBits) - 1) + SCTableInfo.map{case (_,cb,_) => (1 << cb) - 1}.reduce(_+_)
432fbdb79bSLingrui98  def minVal = -(8 * (1 << TageCtrBits) + SCTableInfo.map{case (_,cb,_) => 1 << cb}.reduce(_+_))
442fbdb79bSLingrui98  def sumCtrBits = max(log2Ceil(-minVal), log2Ceil(maxVal+1)) + 1
452fbdb79bSLingrui98  val tageTaken = if (useSC) Bool() else UInt(0.W)
462fbdb79bSLingrui98  val scUsed    = if (useSC) Bool() else UInt(0.W)
472fbdb79bSLingrui98  val scPred    = if (useSC) Bool() else UInt(0.W)
482fbdb79bSLingrui98  // Suppose ctrbits of all tables are identical
492fbdb79bSLingrui98  val ctrs      = if (useSC) Vec(SCNTables, SInt(SCCtrBits.W)) else Vec(SCNTables, SInt(0.W))
506b98bdcbSLingrui98  val sumAbs    = if (useSC) UInt(sumCtrBits.W) else UInt(0.W)
512fbdb79bSLingrui98}
522fbdb79bSLingrui98
53f3501106SGouLingruiclass TageMeta extends XSBundle with HasTageParameter {
54627c0a19Szhanglinjuan  val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
551e7d14a8Szhanglinjuan  val altDiffers = Bool()
561e7d14a8Szhanglinjuan  val providerU = UInt(2.W)
571e7d14a8Szhanglinjuan  val providerCtr = UInt(3.W)
58627c0a19Szhanglinjuan  val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
596b98bdcbSLingrui98  val taken = Bool()
602fbdb79bSLingrui98  val scMeta = new SCMeta(EnableSC)
611e7d14a8Szhanglinjuan}
621e7d14a8Szhanglinjuan
636fb61704Szhanglinjuanclass BranchPrediction extends XSBundle {
64e983e862Szhanglinjuan  val redirect = Bool()
65e3aeae54SLingrui98  val taken = Bool()
6666b0d0c3Szhanglinjuan  val jmpIdx = UInt(log2Up(PredictWidth).W)
67e3aeae54SLingrui98  val hasNotTakenBrs = Bool()
686fb61704Szhanglinjuan  val target = UInt(VAddrBits.W)
6966b0d0c3Szhanglinjuan  val saveHalfRVI = Bool()
704a5c1190SGouLingrui  val takenOnBr = Bool()
716fb61704Szhanglinjuan}
726fb61704Szhanglinjuan
73f00290d7SLingrui98class BranchInfo extends XSBundle with HasBPUParameter {
7453bf6077SLingrui98  val ubtbWriteWay = UInt(log2Up(UBtbWays).W)
75e3aeae54SLingrui98  val ubtbHits = Bool()
7653bf6077SLingrui98  val btbWriteWay = UInt(log2Up(BtbWays).W)
77035fad39SGouLingrui  val btbHitJal = Bool()
78e3aeae54SLingrui98  val bimCtr = UInt(2.W)
7966b0d0c3Szhanglinjuan  val histPtr = UInt(log2Up(ExtHistoryLength).W)
804a9bbf04SGouLingrui  val predHistPtr = UInt(log2Up(ExtHistoryLength).W)
8145e96f83Szhanglinjuan  val tageMeta = new TageMeta
8245e96f83Szhanglinjuan  val rasSp = UInt(log2Up(RasSize).W)
8345e96f83Szhanglinjuan  val rasTopCtr = UInt(8.W)
84ed809609Sjinyue110  val rasToqAddr = UInt(VAddrBits.W)
85c5ed092cSLingrui98  val fetchIdx = UInt(log2Up(PredictWidth).W)
867d053a60Szhanglinjuan  val specCnt = UInt(10.W)
874a5c1190SGouLingrui  val sawNotTakenBranch = Bool()
88f226232fSzhanglinjuan
893a48285bSGouLingrui  val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
903a48285bSGouLingrui  val debug_btb_cycle  = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
913a48285bSGouLingrui  val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
92f226232fSzhanglinjuan
93f226232fSzhanglinjuan  def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = {
94f226232fSzhanglinjuan    this.histPtr := histPtr
95f226232fSzhanglinjuan    this.tageMeta := tageMeta
96f226232fSzhanglinjuan    this.rasSp := rasSp
9780d2974bSLingrui98    this.rasTopCtr := rasTopCtr
98f226232fSzhanglinjuan    this.asUInt
99f226232fSzhanglinjuan  }
100f226232fSzhanglinjuan  def size = 0.U.asTypeOf(this).getWidth
101f226232fSzhanglinjuan  def fromUInt(x: UInt) = x.asTypeOf(this)
10266b0d0c3Szhanglinjuan}
10366b0d0c3Szhanglinjuan
1045844fcf0SLinJiaweiclass Predecode extends XSBundle {
105e9199ec7Szhanglinjuan  val isFetchpcEqualFirstpc = Bool()
1062f931f37Szhanglinjuan  val mask = UInt((FetchWidth*2).W)
10766b0d0c3Szhanglinjuan  val pd = Vec(FetchWidth*2, (new PreDecodeInfo))
1085844fcf0SLinJiawei}
1095844fcf0SLinJiawei
110b2e6921eSLinJiaweiclass BranchUpdateInfo extends XSBundle {
111f226232fSzhanglinjuan  // from backend
11269cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
113608ba82cSzhanglinjuan  val pnpc = UInt(VAddrBits.W)
11469cafcc9SLingrui98  val target = UInt(VAddrBits.W)
115b2e6921eSLinJiawei  val brTarget = UInt(VAddrBits.W)
116b2e6921eSLinJiawei  val taken = Bool()
117b2e6921eSLinJiawei  val fetchIdx = UInt(log2Up(FetchWidth*2).W)
118b2e6921eSLinJiawei  val isMisPred = Bool()
119e965d004Szhanglinjuan  val brTag = new BrqPtr
120f226232fSzhanglinjuan
121f226232fSzhanglinjuan  // frontend -> backend -> frontend
122f226232fSzhanglinjuan  val pd = new PreDecodeInfo
123f226232fSzhanglinjuan  val brInfo = new BranchInfo
124b2e6921eSLinJiawei}
125b2e6921eSLinJiawei
126b2e6921eSLinJiawei// Dequeue DecodeWidth insts from Ibuffer
127b2e6921eSLinJiaweiclass CtrlFlow extends XSBundle {
128b2e6921eSLinJiawei  val instr = UInt(32.W)
129b2e6921eSLinJiawei  val pc = UInt(VAddrBits.W)
130b2e6921eSLinJiawei  val exceptionVec = Vec(16, Bool())
131b2e6921eSLinJiawei  val intrVec = Vec(12, Bool())
132b2e6921eSLinJiawei  val brUpdate = new BranchUpdateInfo
133c84054caSLinJiawei  val crossPageIPFFix = Bool()
1345844fcf0SLinJiawei}
1355844fcf0SLinJiawei
136*579b9f28SLinJiawei
137*579b9f28SLinJiaweiclass FPUCtrlSignals extends XSBundle {
138*579b9f28SLinJiawei	val tagIn = UInt(2.W)
139*579b9f28SLinJiawei	val tagOut = UInt(2.W)
140*579b9f28SLinJiawei}
141*579b9f28SLinJiawei
1425844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
1435844fcf0SLinJiaweiclass CtrlSignals extends XSBundle {
1449a2e6b8aSLinJiawei  val src1Type, src2Type, src3Type = SrcType()
1459a2e6b8aSLinJiawei  val lsrc1, lsrc2, lsrc3 = UInt(5.W)
1469a2e6b8aSLinJiawei  val ldest = UInt(5.W)
1479a2e6b8aSLinJiawei  val fuType = FuType()
1489a2e6b8aSLinJiawei  val fuOpType = FuOpType()
1499a2e6b8aSLinJiawei  val rfWen = Bool()
1509a2e6b8aSLinJiawei  val fpWen = Bool()
1519a2e6b8aSLinJiawei  val isXSTrap = Bool()
1522d366136SLinJiawei  val noSpecExec = Bool()  // wait forward
1532d366136SLinJiawei  val blockBackward  = Bool()  // block backward
15445a56a29SZhangZifei  val flushPipe  = Bool()  // This inst will flush all the pipe when commit, like exception but can commit
155db34a189SLinJiawei  val isRVF = Bool()
156db34a189SLinJiawei  val imm = UInt(XLEN.W)
157a3edac52SYinan Xu  val commitType = CommitType()
158*579b9f28SLinJiawei  val fpu = new FPUCtrlSignals
1595844fcf0SLinJiawei}
1605844fcf0SLinJiawei
1615844fcf0SLinJiaweiclass CfCtrl extends XSBundle {
1625844fcf0SLinJiawei  val cf = new CtrlFlow
1635844fcf0SLinJiawei  val ctrl = new CtrlSignals
164bfa4b2b4SLinJiawei  val brTag = new BrqPtr
1655844fcf0SLinJiawei}
1665844fcf0SLinJiawei
16724726fbfSWilliam Wang// Load / Store Index
16824726fbfSWilliam Wang//
16924726fbfSWilliam Wang// while separated lq and sq is used, lsIdx consists of lqIdx, sqIdx and l/s type.
17024726fbfSWilliam Wangtrait HasLSIdx { this: HasXSParameter =>
17148d1472eSWilliam Wang  // Separate LSQ
172915c0dd4SYinan Xu  val lqIdx = new LqPtr
1735c1ae31bSYinan Xu  val sqIdx = new SqPtr
174b2e6921eSLinJiawei}
175054d37b6SLinJiawei
17624726fbfSWilliam Wangclass LSIdx extends XSBundle with HasLSIdx {}
1775844fcf0SLinJiawei
178b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
1793dbae6f8SYinan Xuclass MicroOp extends CfCtrl with HasLSIdx {
1809a2e6b8aSLinJiawei  val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W)
1819a2e6b8aSLinJiawei  val src1State, src2State, src3State = SrcState()
18242707b3bSYinan Xu  val roqIdx = new RoqPtr
183355fcd20SAllen  val diffTestDebugLrScValid = Bool()
1845844fcf0SLinJiawei}
1855844fcf0SLinJiawei
1864d8e0a7fSYinan Xuclass Redirect extends XSBundle {
18742707b3bSYinan Xu  val roqIdx = new RoqPtr
18837fcf7fbSLinJiawei  val isException = Bool()
189b2e6921eSLinJiawei  val isMisPred = Bool()
190b2e6921eSLinJiawei  val isReplay = Bool()
19145a56a29SZhangZifei  val isFlushPipe = Bool()
192b2e6921eSLinJiawei  val pc = UInt(VAddrBits.W)
193b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
194b2e6921eSLinJiawei  val brTag = new BrqPtr
195a25b1bceSLinJiawei}
196a25b1bceSLinJiawei
1975844fcf0SLinJiaweiclass Dp1ToDp2IO extends XSBundle {
1985c7b21d5SYinan Xu  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
1995c7b21d5SYinan Xu  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
2005c7b21d5SYinan Xu  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
2015844fcf0SLinJiawei}
2025844fcf0SLinJiawei
20360deaca2SLinJiaweiclass ReplayPregReq extends XSBundle {
20460deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
20560deaca2SLinJiawei  val isInt = Bool()
20660deaca2SLinJiawei  val isFp = Bool()
20760deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
20860deaca2SLinJiawei}
20960deaca2SLinJiawei
210e402d94eSWilliam Wangclass DebugBundle extends XSBundle{
21172235fa4SWilliam Wang  val isMMIO = Bool()
212e402d94eSWilliam Wang}
2135844fcf0SLinJiawei
2145844fcf0SLinJiaweiclass ExuInput extends XSBundle {
2155844fcf0SLinJiawei  val uop = new MicroOp
2169684eb4fSLinJiawei  val src1, src2, src3 = UInt((XLEN+1).W)
2175844fcf0SLinJiawei}
2185844fcf0SLinJiawei
2195844fcf0SLinJiaweiclass ExuOutput extends XSBundle {
2205844fcf0SLinJiawei  val uop = new MicroOp
2219684eb4fSLinJiawei  val data = UInt((XLEN+1).W)
222d150fc4eSlinjiawei  val fflags  = new Fflags
22397cfa7f8SLinJiawei  val redirectValid = Bool()
22497cfa7f8SLinJiawei  val redirect = new Redirect
225b2e6921eSLinJiawei  val brUpdate = new BranchUpdateInfo
226e402d94eSWilliam Wang  val debug = new DebugBundle
2275844fcf0SLinJiawei}
2285844fcf0SLinJiawei
22935bfeecbSYinan Xuclass ExternalInterruptIO extends XSBundle {
23035bfeecbSYinan Xu  val mtip = Input(Bool())
23135bfeecbSYinan Xu  val msip = Input(Bool())
23235bfeecbSYinan Xu  val meip = Input(Bool())
23335bfeecbSYinan Xu}
23435bfeecbSYinan Xu
23535bfeecbSYinan Xuclass CSRSpecialIO extends XSBundle {
23635bfeecbSYinan Xu  val exception = Flipped(ValidIO(new MicroOp))
2373fa7b737SYinan Xu  val isInterrupt = Input(Bool())
23835bfeecbSYinan Xu  val memExceptionVAddr = Input(UInt(VAddrBits.W))
23935bfeecbSYinan Xu  val trapTarget = Output(UInt(VAddrBits.W))
24035bfeecbSYinan Xu  val externalInterrupt = new ExternalInterruptIO
24135bfeecbSYinan Xu  val interrupt = Output(Bool())
24235bfeecbSYinan Xu}
24335bfeecbSYinan Xu
2449684eb4fSLinJiawei//class ExuIO extends XSBundle {
2459684eb4fSLinJiawei//  val in = Flipped(DecoupledIO(new ExuInput))
2469684eb4fSLinJiawei//  val redirect = Flipped(ValidIO(new Redirect))
2479684eb4fSLinJiawei//  val out = DecoupledIO(new ExuOutput)
2489684eb4fSLinJiawei//  // for csr
2499684eb4fSLinJiawei//  val csrOnly = new CSRSpecialIO
2509684eb4fSLinJiawei//  val mcommit = Input(UInt(3.W))
2519684eb4fSLinJiawei//}
2525844fcf0SLinJiawei
2535844fcf0SLinJiaweiclass RoqCommit extends XSBundle {
2545844fcf0SLinJiawei  val uop = new MicroOp
255296e7422SLinJiawei  val isWalk = Bool()
2565844fcf0SLinJiawei}
2575844fcf0SLinJiawei
25842707b3bSYinan Xuclass TlbFeedback extends XSBundle {
25942707b3bSYinan Xu  val roqIdx = new RoqPtr
260037a131fSWilliam Wang  val hit = Bool()
261037a131fSWilliam Wang}
262037a131fSWilliam Wang
2635844fcf0SLinJiaweiclass FrontendToBackendIO extends XSBundle {
2645844fcf0SLinJiawei  // to backend end
2655844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
2665844fcf0SLinJiawei  // from backend
267b2e6921eSLinJiawei  val redirect = Flipped(ValidIO(new Redirect))
268b2e6921eSLinJiawei  val outOfOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
269b2e6921eSLinJiawei  val inOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
2701e3fad10SLinJiawei}
271fcff7e94SZhangZifei
272fcff7e94SZhangZifeiclass TlbCsrBundle extends XSBundle {
273fcff7e94SZhangZifei  val satp = new Bundle {
274fcff7e94SZhangZifei    val mode = UInt(4.W) // TODO: may change number to parameter
275fcff7e94SZhangZifei    val asid = UInt(16.W)
276fcff7e94SZhangZifei    val ppn  = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
277fcff7e94SZhangZifei  }
278fcff7e94SZhangZifei  val priv = new Bundle {
279fcff7e94SZhangZifei    val mxr = Bool()
280fcff7e94SZhangZifei    val sum = Bool()
281fcff7e94SZhangZifei    val imode = UInt(2.W)
282fcff7e94SZhangZifei    val dmode = UInt(2.W)
283fcff7e94SZhangZifei  }
2848fc4e859SZhangZifei
2858fc4e859SZhangZifei  override def toPrintable: Printable = {
2868fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
2878fc4e859SZhangZifei    p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
2888fc4e859SZhangZifei  }
289fcff7e94SZhangZifei}
290fcff7e94SZhangZifei
291fcff7e94SZhangZifeiclass SfenceBundle extends XSBundle {
292fcff7e94SZhangZifei  val valid = Bool()
293fcff7e94SZhangZifei  val bits = new Bundle {
294fcff7e94SZhangZifei    val rs1 = Bool()
295fcff7e94SZhangZifei    val rs2 = Bool()
296fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
297fcff7e94SZhangZifei  }
2988fc4e859SZhangZifei
2998fc4e859SZhangZifei  override def toPrintable: Printable = {
3008fc4e859SZhangZifei    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
3018fc4e859SZhangZifei  }
302fcff7e94SZhangZifei}
303