11e3fad10SLinJiaweipackage xiangshan 21e3fad10SLinJiawei 31e3fad10SLinJiaweiimport chisel3._ 45844fcf0SLinJiaweiimport chisel3.util._ 5bfa4b2b4SLinJiaweiimport xiangshan.backend.brq.BrqPtr 6d150fc4eSlinjiaweiimport xiangshan.backend.fu.fpu.Fflags 70851457fSLinJiaweiimport xiangshan.backend.rename.FreeListPtr 842707b3bSYinan Xuimport xiangshan.backend.roq.RoqPtr 9be25371aSYikeZhouimport xiangshan.backend.decode.XDecode 105c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr} 1166b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo 12f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter 13f3501106SGouLingruiimport xiangshan.frontend.HasTageParameter 142fbdb79bSLingrui98import scala.math.max 151e3fad10SLinJiawei 165844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache 171e3fad10SLinJiaweiclass FetchPacket extends XSBundle { 1828958354Szhanglinjuan val instrs = Vec(PredictWidth, UInt(32.W)) 1928958354Szhanglinjuan val mask = UInt(PredictWidth.W) 2042696a74Szhanglinjuan // val pc = UInt(VAddrBits.W) 2142696a74Szhanglinjuan val pc = Vec(PredictWidth, UInt(VAddrBits.W)) 2228958354Szhanglinjuan val pnpc = Vec(PredictWidth, UInt(VAddrBits.W)) 23a428082bSLinJiawei val brInfo = Vec(PredictWidth, new BranchInfo) 24a428082bSLinJiawei val pd = Vec(PredictWidth, new PreDecodeInfo) 255a67e465Szhanglinjuan val ipf = Bool() 265a67e465Szhanglinjuan val crossPageIPFFix = Bool() 270f94ebecSzoujr val predTaken = Bool() 281e3fad10SLinJiawei} 291e3fad10SLinJiawei 30627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle { 313803411bSzhanglinjuan val valid = Bool() 3235fe60e8SLingrui98 val bits = gen.cloneType.asInstanceOf[T] 33627c0a19Szhanglinjuan override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type] 343803411bSzhanglinjuan} 353803411bSzhanglinjuan 36627c0a19Szhanglinjuanobject ValidUndirectioned { 37627c0a19Szhanglinjuan def apply[T <: Data](gen: T) = { 38627c0a19Szhanglinjuan new ValidUndirectioned[T](gen) 393803411bSzhanglinjuan } 403803411bSzhanglinjuan} 413803411bSzhanglinjuan 42534e17a9SLingrui98class SCMeta(val useSC: Boolean) extends XSBundle with HasTageParameter { 432fbdb79bSLingrui98 def maxVal = 8 * ((1 << TageCtrBits) - 1) + SCTableInfo.map{case (_,cb,_) => (1 << cb) - 1}.reduce(_+_) 442fbdb79bSLingrui98 def minVal = -(8 * (1 << TageCtrBits) + SCTableInfo.map{case (_,cb,_) => 1 << cb}.reduce(_+_)) 452fbdb79bSLingrui98 def sumCtrBits = max(log2Ceil(-minVal), log2Ceil(maxVal+1)) + 1 462fbdb79bSLingrui98 val tageTaken = if (useSC) Bool() else UInt(0.W) 472fbdb79bSLingrui98 val scUsed = if (useSC) Bool() else UInt(0.W) 482fbdb79bSLingrui98 val scPred = if (useSC) Bool() else UInt(0.W) 492fbdb79bSLingrui98 // Suppose ctrbits of all tables are identical 502fbdb79bSLingrui98 val ctrs = if (useSC) Vec(SCNTables, SInt(SCCtrBits.W)) else Vec(SCNTables, SInt(0.W)) 516b98bdcbSLingrui98 val sumAbs = if (useSC) UInt(sumCtrBits.W) else UInt(0.W) 522fbdb79bSLingrui98} 532fbdb79bSLingrui98 54f3501106SGouLingruiclass TageMeta extends XSBundle with HasTageParameter { 55627c0a19Szhanglinjuan val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W)) 561e7d14a8Szhanglinjuan val altDiffers = Bool() 571e7d14a8Szhanglinjuan val providerU = UInt(2.W) 581e7d14a8Szhanglinjuan val providerCtr = UInt(3.W) 59627c0a19Szhanglinjuan val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W)) 606b98bdcbSLingrui98 val taken = Bool() 612fbdb79bSLingrui98 val scMeta = new SCMeta(EnableSC) 621e7d14a8Szhanglinjuan} 631e7d14a8Szhanglinjuan 646fb61704Szhanglinjuanclass BranchPrediction extends XSBundle { 65e983e862Szhanglinjuan val redirect = Bool() 66e3aeae54SLingrui98 val taken = Bool() 6766b0d0c3Szhanglinjuan val jmpIdx = UInt(log2Up(PredictWidth).W) 68e3aeae54SLingrui98 val hasNotTakenBrs = Bool() 696fb61704Szhanglinjuan val target = UInt(VAddrBits.W) 7066b0d0c3Szhanglinjuan val saveHalfRVI = Bool() 714a5c1190SGouLingrui val takenOnBr = Bool() 726fb61704Szhanglinjuan} 736fb61704Szhanglinjuan 74f00290d7SLingrui98class BranchInfo extends XSBundle with HasBPUParameter { 7553bf6077SLingrui98 val ubtbWriteWay = UInt(log2Up(UBtbWays).W) 76e3aeae54SLingrui98 val ubtbHits = Bool() 7753bf6077SLingrui98 val btbWriteWay = UInt(log2Up(BtbWays).W) 78035fad39SGouLingrui val btbHitJal = Bool() 79e3aeae54SLingrui98 val bimCtr = UInt(2.W) 8066b0d0c3Szhanglinjuan val histPtr = UInt(log2Up(ExtHistoryLength).W) 814a9bbf04SGouLingrui val predHistPtr = UInt(log2Up(ExtHistoryLength).W) 8245e96f83Szhanglinjuan val tageMeta = new TageMeta 8345e96f83Szhanglinjuan val rasSp = UInt(log2Up(RasSize).W) 8445e96f83Szhanglinjuan val rasTopCtr = UInt(8.W) 85ed809609Sjinyue110 val rasToqAddr = UInt(VAddrBits.W) 86c5ed092cSLingrui98 val fetchIdx = UInt(log2Up(PredictWidth).W) 877d053a60Szhanglinjuan val specCnt = UInt(10.W) 884a5c1190SGouLingrui val sawNotTakenBranch = Bool() 89f226232fSzhanglinjuan 903a48285bSGouLingrui val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W) 913a48285bSGouLingrui val debug_btb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W) 923a48285bSGouLingrui val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W) 93f226232fSzhanglinjuan 94f226232fSzhanglinjuan def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = { 95f226232fSzhanglinjuan this.histPtr := histPtr 96f226232fSzhanglinjuan this.tageMeta := tageMeta 97f226232fSzhanglinjuan this.rasSp := rasSp 9880d2974bSLingrui98 this.rasTopCtr := rasTopCtr 99f226232fSzhanglinjuan this.asUInt 100f226232fSzhanglinjuan } 101f226232fSzhanglinjuan def size = 0.U.asTypeOf(this).getWidth 102f226232fSzhanglinjuan def fromUInt(x: UInt) = x.asTypeOf(this) 10366b0d0c3Szhanglinjuan} 10466b0d0c3Szhanglinjuan 1055844fcf0SLinJiaweiclass Predecode extends XSBundle { 106e9199ec7Szhanglinjuan val isFetchpcEqualFirstpc = Bool() 1072f931f37Szhanglinjuan val mask = UInt((FetchWidth*2).W) 10866b0d0c3Szhanglinjuan val pd = Vec(FetchWidth*2, (new PreDecodeInfo)) 1095844fcf0SLinJiawei} 1105844fcf0SLinJiawei 111b2e6921eSLinJiaweiclass BranchUpdateInfo extends XSBundle { 112f226232fSzhanglinjuan // from backend 11369cafcc9SLingrui98 val pc = UInt(VAddrBits.W) 114608ba82cSzhanglinjuan val pnpc = UInt(VAddrBits.W) 11569cafcc9SLingrui98 val target = UInt(VAddrBits.W) 116b2e6921eSLinJiawei val brTarget = UInt(VAddrBits.W) 117b2e6921eSLinJiawei val taken = Bool() 118b2e6921eSLinJiawei val fetchIdx = UInt(log2Up(FetchWidth*2).W) 119b2e6921eSLinJiawei val isMisPred = Bool() 120e965d004Szhanglinjuan val brTag = new BrqPtr 121f226232fSzhanglinjuan 122f226232fSzhanglinjuan // frontend -> backend -> frontend 123f226232fSzhanglinjuan val pd = new PreDecodeInfo 124f226232fSzhanglinjuan val brInfo = new BranchInfo 125b2e6921eSLinJiawei} 126b2e6921eSLinJiawei 127b2e6921eSLinJiawei// Dequeue DecodeWidth insts from Ibuffer 128b2e6921eSLinJiaweiclass CtrlFlow extends XSBundle { 129b2e6921eSLinJiawei val instr = UInt(32.W) 130b2e6921eSLinJiawei val pc = UInt(VAddrBits.W) 131b2e6921eSLinJiawei val exceptionVec = Vec(16, Bool()) 132b2e6921eSLinJiawei val intrVec = Vec(12, Bool()) 133b2e6921eSLinJiawei val brUpdate = new BranchUpdateInfo 134c84054caSLinJiawei val crossPageIPFFix = Bool() 1355844fcf0SLinJiawei} 1365844fcf0SLinJiawei 1375844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage 1385844fcf0SLinJiaweiclass CtrlSignals extends XSBundle { 1399a2e6b8aSLinJiawei val src1Type, src2Type, src3Type = SrcType() 1409a2e6b8aSLinJiawei val lsrc1, lsrc2, lsrc3 = UInt(5.W) 1419a2e6b8aSLinJiawei val ldest = UInt(5.W) 1429a2e6b8aSLinJiawei val fuType = FuType() 1439a2e6b8aSLinJiawei val fuOpType = FuOpType() 1449a2e6b8aSLinJiawei val rfWen = Bool() 1459a2e6b8aSLinJiawei val fpWen = Bool() 1469a2e6b8aSLinJiawei val isXSTrap = Bool() 1472d366136SLinJiawei val noSpecExec = Bool() // wait forward 1482d366136SLinJiawei val blockBackward = Bool() // block backward 14945a56a29SZhangZifei val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 150db34a189SLinJiawei val isRVF = Bool() 151db34a189SLinJiawei val imm = UInt(XLEN.W) 152a3edac52SYinan Xu val commitType = CommitType() 153be25371aSYikeZhou 154be25371aSYikeZhou def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]) = { 155be25371aSYikeZhou val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table) 156be25371aSYikeZhou val signals = 157*4d24c305SYikeZhou Seq(src1Type, src2Type, src3Type, fuType, fuOpType, rfWen, fpWen, 158*4d24c305SYikeZhou isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF) 159be25371aSYikeZhou signals zip decoder map { case(s, d) => s := d } 160*4d24c305SYikeZhou commitType := DontCare 161be25371aSYikeZhou this 162be25371aSYikeZhou } 1635844fcf0SLinJiawei} 1645844fcf0SLinJiawei 1655844fcf0SLinJiaweiclass CfCtrl extends XSBundle { 1665844fcf0SLinJiawei val cf = new CtrlFlow 1675844fcf0SLinJiawei val ctrl = new CtrlSignals 168bfa4b2b4SLinJiawei val brTag = new BrqPtr 1695844fcf0SLinJiawei} 1705844fcf0SLinJiawei 17124726fbfSWilliam Wang// Load / Store Index 17224726fbfSWilliam Wang// 17324726fbfSWilliam Wang// while separated lq and sq is used, lsIdx consists of lqIdx, sqIdx and l/s type. 17424726fbfSWilliam Wangtrait HasLSIdx { this: HasXSParameter => 17548d1472eSWilliam Wang // Separate LSQ 176915c0dd4SYinan Xu val lqIdx = new LqPtr 1775c1ae31bSYinan Xu val sqIdx = new SqPtr 178b2e6921eSLinJiawei} 179054d37b6SLinJiawei 18024726fbfSWilliam Wangclass LSIdx extends XSBundle with HasLSIdx {} 1815844fcf0SLinJiawei 182b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage 1833dbae6f8SYinan Xuclass MicroOp extends CfCtrl with HasLSIdx { 1849a2e6b8aSLinJiawei val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W) 1859a2e6b8aSLinJiawei val src1State, src2State, src3State = SrcState() 18642707b3bSYinan Xu val roqIdx = new RoqPtr 187355fcd20SAllen val diffTestDebugLrScValid = Bool() 1885844fcf0SLinJiawei} 1895844fcf0SLinJiawei 1904d8e0a7fSYinan Xuclass Redirect extends XSBundle { 19142707b3bSYinan Xu val roqIdx = new RoqPtr 19237fcf7fbSLinJiawei val isException = Bool() 193b2e6921eSLinJiawei val isMisPred = Bool() 194b2e6921eSLinJiawei val isReplay = Bool() 19545a56a29SZhangZifei val isFlushPipe = Bool() 196b2e6921eSLinJiawei val pc = UInt(VAddrBits.W) 197b2e6921eSLinJiawei val target = UInt(VAddrBits.W) 198b2e6921eSLinJiawei val brTag = new BrqPtr 199a25b1bceSLinJiawei} 200a25b1bceSLinJiawei 2015844fcf0SLinJiaweiclass Dp1ToDp2IO extends XSBundle { 2025c7b21d5SYinan Xu val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 2035c7b21d5SYinan Xu val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp)) 2045c7b21d5SYinan Xu val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp)) 2055844fcf0SLinJiawei} 2065844fcf0SLinJiawei 20760deaca2SLinJiaweiclass ReplayPregReq extends XSBundle { 20860deaca2SLinJiawei // NOTE: set isInt and isFp both to 'false' when invalid 20960deaca2SLinJiawei val isInt = Bool() 21060deaca2SLinJiawei val isFp = Bool() 21160deaca2SLinJiawei val preg = UInt(PhyRegIdxWidth.W) 21260deaca2SLinJiawei} 21360deaca2SLinJiawei 214e402d94eSWilliam Wangclass DebugBundle extends XSBundle{ 21572235fa4SWilliam Wang val isMMIO = Bool() 216e402d94eSWilliam Wang} 2175844fcf0SLinJiawei 2185844fcf0SLinJiaweiclass ExuInput extends XSBundle { 2195844fcf0SLinJiawei val uop = new MicroOp 2209684eb4fSLinJiawei val src1, src2, src3 = UInt((XLEN+1).W) 2215844fcf0SLinJiawei} 2225844fcf0SLinJiawei 2235844fcf0SLinJiaweiclass ExuOutput extends XSBundle { 2245844fcf0SLinJiawei val uop = new MicroOp 2259684eb4fSLinJiawei val data = UInt((XLEN+1).W) 226d150fc4eSlinjiawei val fflags = new Fflags 22797cfa7f8SLinJiawei val redirectValid = Bool() 22897cfa7f8SLinJiawei val redirect = new Redirect 229b2e6921eSLinJiawei val brUpdate = new BranchUpdateInfo 230e402d94eSWilliam Wang val debug = new DebugBundle 2315844fcf0SLinJiawei} 2325844fcf0SLinJiawei 23335bfeecbSYinan Xuclass ExternalInterruptIO extends XSBundle { 23435bfeecbSYinan Xu val mtip = Input(Bool()) 23535bfeecbSYinan Xu val msip = Input(Bool()) 23635bfeecbSYinan Xu val meip = Input(Bool()) 23735bfeecbSYinan Xu} 23835bfeecbSYinan Xu 23935bfeecbSYinan Xuclass CSRSpecialIO extends XSBundle { 24035bfeecbSYinan Xu val exception = Flipped(ValidIO(new MicroOp)) 2413fa7b737SYinan Xu val isInterrupt = Input(Bool()) 24235bfeecbSYinan Xu val memExceptionVAddr = Input(UInt(VAddrBits.W)) 24335bfeecbSYinan Xu val trapTarget = Output(UInt(VAddrBits.W)) 24435bfeecbSYinan Xu val externalInterrupt = new ExternalInterruptIO 24535bfeecbSYinan Xu val interrupt = Output(Bool()) 24635bfeecbSYinan Xu} 24735bfeecbSYinan Xu 2489684eb4fSLinJiawei//class ExuIO extends XSBundle { 2499684eb4fSLinJiawei// val in = Flipped(DecoupledIO(new ExuInput)) 2509684eb4fSLinJiawei// val redirect = Flipped(ValidIO(new Redirect)) 2519684eb4fSLinJiawei// val out = DecoupledIO(new ExuOutput) 2529684eb4fSLinJiawei// // for csr 2539684eb4fSLinJiawei// val csrOnly = new CSRSpecialIO 2549684eb4fSLinJiawei// val mcommit = Input(UInt(3.W)) 2559684eb4fSLinJiawei//} 2565844fcf0SLinJiawei 2575844fcf0SLinJiaweiclass RoqCommit extends XSBundle { 2585844fcf0SLinJiawei val uop = new MicroOp 259296e7422SLinJiawei val isWalk = Bool() 2605844fcf0SLinJiawei} 2615844fcf0SLinJiawei 26242707b3bSYinan Xuclass TlbFeedback extends XSBundle { 26342707b3bSYinan Xu val roqIdx = new RoqPtr 264037a131fSWilliam Wang val hit = Bool() 265037a131fSWilliam Wang} 266037a131fSWilliam Wang 2675844fcf0SLinJiaweiclass FrontendToBackendIO extends XSBundle { 2685844fcf0SLinJiawei // to backend end 2695844fcf0SLinJiawei val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 2705844fcf0SLinJiawei // from backend 271b2e6921eSLinJiawei val redirect = Flipped(ValidIO(new Redirect)) 272b2e6921eSLinJiawei val outOfOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo)) 273b2e6921eSLinJiawei val inOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo)) 2741e3fad10SLinJiawei} 275fcff7e94SZhangZifei 276fcff7e94SZhangZifeiclass TlbCsrBundle extends XSBundle { 277fcff7e94SZhangZifei val satp = new Bundle { 278fcff7e94SZhangZifei val mode = UInt(4.W) // TODO: may change number to parameter 279fcff7e94SZhangZifei val asid = UInt(16.W) 280fcff7e94SZhangZifei val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen 281fcff7e94SZhangZifei } 282fcff7e94SZhangZifei val priv = new Bundle { 283fcff7e94SZhangZifei val mxr = Bool() 284fcff7e94SZhangZifei val sum = Bool() 285fcff7e94SZhangZifei val imode = UInt(2.W) 286fcff7e94SZhangZifei val dmode = UInt(2.W) 287fcff7e94SZhangZifei } 2888fc4e859SZhangZifei 2898fc4e859SZhangZifei override def toPrintable: Printable = { 2908fc4e859SZhangZifei p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 2918fc4e859SZhangZifei p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 2928fc4e859SZhangZifei } 293fcff7e94SZhangZifei} 294fcff7e94SZhangZifei 295fcff7e94SZhangZifeiclass SfenceBundle extends XSBundle { 296fcff7e94SZhangZifei val valid = Bool() 297fcff7e94SZhangZifei val bits = new Bundle { 298fcff7e94SZhangZifei val rs1 = Bool() 299fcff7e94SZhangZifei val rs2 = Bool() 300fcff7e94SZhangZifei val addr = UInt(VAddrBits.W) 301fcff7e94SZhangZifei } 3028fc4e859SZhangZifei 3038fc4e859SZhangZifei override def toPrintable: Printable = { 3048fc4e859SZhangZifei p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}" 3058fc4e859SZhangZifei } 306fcff7e94SZhangZifei} 307