xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision 42696a74b557c216a8a1ec416550e34c8f43c6af)
11e3fad10SLinJiaweipackage xiangshan
21e3fad10SLinJiawei
31e3fad10SLinJiaweiimport chisel3._
45844fcf0SLinJiaweiimport chisel3.util._
5e402d94eSWilliam Wangimport bus.simplebus._
6bfa4b2b4SLinJiaweiimport xiangshan.backend.brq.BrqPtr
70851457fSLinJiaweiimport xiangshan.backend.rename.FreeListPtr
866b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo
91e3fad10SLinJiawei
105844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache
111e3fad10SLinJiaweiclass FetchPacket extends XSBundle {
1228958354Szhanglinjuan  val instrs = Vec(PredictWidth, UInt(32.W))
1328958354Szhanglinjuan  val mask = UInt(PredictWidth.W)
14*42696a74Szhanglinjuan  // val pc = UInt(VAddrBits.W)
15*42696a74Szhanglinjuan  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
1628958354Szhanglinjuan  val pnpc = Vec(PredictWidth, UInt(VAddrBits.W))
1728958354Szhanglinjuan  val brInfo = Vec(PredictWidth, (new BranchInfo))
1828958354Szhanglinjuan  val pd = Vec(PredictWidth, (new PreDecodeInfo))
191e3fad10SLinJiawei}
201e3fad10SLinJiawei
21627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
223803411bSzhanglinjuan  val valid = Bool()
233803411bSzhanglinjuan  val bits = gen.asInstanceOf[T]
24627c0a19Szhanglinjuan  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
253803411bSzhanglinjuan}
263803411bSzhanglinjuan
27627c0a19Szhanglinjuanobject ValidUndirectioned {
28627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
29627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
303803411bSzhanglinjuan  }
313803411bSzhanglinjuan}
323803411bSzhanglinjuan
331e7d14a8Szhanglinjuanclass TageMeta extends XSBundle {
34627c0a19Szhanglinjuan  val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
351e7d14a8Szhanglinjuan  val altDiffers = Bool()
361e7d14a8Szhanglinjuan  val providerU = UInt(2.W)
371e7d14a8Szhanglinjuan  val providerCtr = UInt(3.W)
38627c0a19Szhanglinjuan  val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
391e7d14a8Szhanglinjuan}
401e7d14a8Szhanglinjuan
4166b0d0c3Szhanglinjuanclass BranchPrediction extends XSBundle {
4266b0d0c3Szhanglinjuan  val redirect = Bool()
4366b0d0c3Szhanglinjuan  val jmpIdx = UInt(log2Up(PredictWidth).W)
44ee286e3bSzhanglinjuan  val hasNotTakenBrs = Bool()
4566b0d0c3Szhanglinjuan  val target = UInt(VAddrBits.W)
4666b0d0c3Szhanglinjuan  val saveHalfRVI = Bool()
47ee286e3bSzhanglinjuan  val taken = Bool()
4866b0d0c3Szhanglinjuan}
4966b0d0c3Szhanglinjuan
5066b0d0c3Szhanglinjuanclass BranchInfo extends XSBundle {
5153bf6077SLingrui98  val ubtbWriteWay = UInt(log2Up(UBtbWays).W)
5253bf6077SLingrui98  val ubtbHits = Vec(PredictWidth, Bool())
5353bf6077SLingrui98  val btbWriteWay = UInt(log2Up(BtbWays).W)
5453bf6077SLingrui98  val bimCtrs = Vec(PredictWidth, UInt(2.W))
5566b0d0c3Szhanglinjuan  val histPtr = UInt(log2Up(ExtHistoryLength).W)
56f226232fSzhanglinjuan  val tageMeta = new TageMeta
5766b0d0c3Szhanglinjuan  val rasSp = UInt(log2Up(RasSize).W)
5866b0d0c3Szhanglinjuan  val rasTopCtr = UInt(8.W)
59f226232fSzhanglinjuan
60f226232fSzhanglinjuan  def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = {
61f226232fSzhanglinjuan    this.histPtr := histPtr
62f226232fSzhanglinjuan    this.tageMeta := tageMeta
63f226232fSzhanglinjuan    this.rasSp := rasSp
6480d2974bSLingrui98    this.rasTopCtr := rasTopCtr
65f226232fSzhanglinjuan    this.asUInt
66f226232fSzhanglinjuan  }
67f226232fSzhanglinjuan  def size = 0.U.asTypeOf(this).getWidth
68f226232fSzhanglinjuan  def fromUInt(x: UInt) = x.asTypeOf(this)
6966b0d0c3Szhanglinjuan}
7066b0d0c3Szhanglinjuan
716fb61704Szhanglinjuanclass Predecode extends XSBundle {
722f931f37Szhanglinjuan  val mask = UInt((FetchWidth*2).W)
7366b0d0c3Szhanglinjuan  val pd = Vec(FetchWidth*2, (new PreDecodeInfo))
746fb61704Szhanglinjuan}
756fb61704Szhanglinjuan
76b2e6921eSLinJiaweiclass BranchUpdateInfo extends XSBundle {
77f226232fSzhanglinjuan  // from backend
78fda42022Szhanglinjuan  val pnpc = UInt(VAddrBits.W)
79b2e6921eSLinJiawei  val brTarget = UInt(VAddrBits.W)
80b2e6921eSLinJiawei  val taken = Bool()
81b2e6921eSLinJiawei  val fetchIdx = UInt(log2Up(FetchWidth*2).W)
82b2e6921eSLinJiawei  val isMisPred = Bool()
83f226232fSzhanglinjuan
84f226232fSzhanglinjuan  // frontend -> backend -> frontend
85f226232fSzhanglinjuan  val pd = new PreDecodeInfo
86f226232fSzhanglinjuan  val brInfo = new BranchInfo
87b2e6921eSLinJiawei}
88b2e6921eSLinJiawei
891e3fad10SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
901e3fad10SLinJiaweiclass CtrlFlow extends XSBundle {
915844fcf0SLinJiawei  val instr = UInt(32.W)
925844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
935844fcf0SLinJiawei  val exceptionVec = Vec(16, Bool())
945844fcf0SLinJiawei  val intrVec = Vec(12, Bool())
95b2e6921eSLinJiawei  val brUpdate = new BranchUpdateInfo
96c84054caSLinJiawei  val crossPageIPFFix = Bool()
975844fcf0SLinJiawei}
985844fcf0SLinJiawei
995844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
1005844fcf0SLinJiaweiclass CtrlSignals extends XSBundle {
1019a2e6b8aSLinJiawei  val src1Type, src2Type, src3Type = SrcType()
1029a2e6b8aSLinJiawei  val lsrc1, lsrc2, lsrc3 = UInt(5.W)
1039a2e6b8aSLinJiawei  val ldest = UInt(5.W)
1049a2e6b8aSLinJiawei  val fuType = FuType()
1059a2e6b8aSLinJiawei  val fuOpType = FuOpType()
1069a2e6b8aSLinJiawei  val rfWen = Bool()
1079a2e6b8aSLinJiawei  val fpWen = Bool()
1089a2e6b8aSLinJiawei  val isXSTrap = Bool()
1099a2e6b8aSLinJiawei  val noSpecExec = Bool()  // This inst can not be speculated
1109a2e6b8aSLinJiawei  val isBlocked  = Bool()  // This inst requires pipeline to be blocked
111db34a189SLinJiawei  val isRVF = Bool()
112db34a189SLinJiawei  val imm = UInt(XLEN.W)
1135844fcf0SLinJiawei}
1145844fcf0SLinJiawei
1155844fcf0SLinJiaweiclass CfCtrl extends XSBundle {
1165844fcf0SLinJiawei  val cf = new CtrlFlow
1175844fcf0SLinJiawei  val ctrl = new CtrlSignals
118bfa4b2b4SLinJiawei  val brTag = new BrqPtr
1195844fcf0SLinJiawei}
1205844fcf0SLinJiawei
121b2e6921eSLinJiaweitrait HasRoqIdx { this: HasXSParameter =>
122b2e6921eSLinJiawei  val roqIdx = UInt(RoqIdxWidth.W)
123691af0f8SLinJiawei  def needFlush(redirect: Valid[Redirect]): Bool = {
124b2e6921eSLinJiawei    redirect.valid && Mux(
125b2e6921eSLinJiawei      this.roqIdx.head(1) === redirect.bits.roqIdx.head(1),
126b2e6921eSLinJiawei      this.roqIdx.tail(1) > redirect.bits.roqIdx.tail(1),
127b2e6921eSLinJiawei      this.roqIdx.tail(1) < redirect.bits.roqIdx.tail(1)
128b2e6921eSLinJiawei    )
129b2e6921eSLinJiawei  }
130b2e6921eSLinJiawei}
1315844fcf0SLinJiawei
132b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
133b2e6921eSLinJiaweiclass MicroOp extends CfCtrl with HasRoqIdx {
1349a2e6b8aSLinJiawei  val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W)
1359a2e6b8aSLinJiawei  val src1State, src2State, src3State = SrcState()
1365844fcf0SLinJiawei}
1375844fcf0SLinJiawei
138b2e6921eSLinJiaweiclass Redirect extends XSBundle with HasRoqIdx {
13937fcf7fbSLinJiawei  val isException = Bool()
140b2e6921eSLinJiawei  val isMisPred = Bool()
141b2e6921eSLinJiawei  val isReplay = Bool()
142b2e6921eSLinJiawei  val pc = UInt(VAddrBits.W)
143b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
144b2e6921eSLinJiawei  val brTag = new BrqPtr
145a25b1bceSLinJiawei}
146a25b1bceSLinJiawei
1475844fcf0SLinJiaweiclass Dp1ToDp2IO extends XSBundle {
1485844fcf0SLinJiawei  val intDqToDp2 = Vec(IntDqDeqWidth, DecoupledIO(new MicroOp))
1495844fcf0SLinJiawei  val fpDqToDp2 = Vec(FpDqDeqWidth, DecoupledIO(new MicroOp))
1505844fcf0SLinJiawei  val lsDqToDp2 = Vec(LsDqDeqWidth, DecoupledIO(new MicroOp))
1515844fcf0SLinJiawei}
1525844fcf0SLinJiawei
153e402d94eSWilliam Wangclass DebugBundle extends XSBundle{
15472235fa4SWilliam Wang  val isMMIO = Bool()
155e402d94eSWilliam Wang}
1565844fcf0SLinJiawei
1575844fcf0SLinJiaweiclass ExuInput extends XSBundle {
1585844fcf0SLinJiawei  val uop = new MicroOp
1595844fcf0SLinJiawei  val src1, src2, src3 = UInt(XLEN.W)
1605844fcf0SLinJiawei}
1615844fcf0SLinJiawei
1625844fcf0SLinJiaweiclass ExuOutput extends XSBundle {
1635844fcf0SLinJiawei  val uop = new MicroOp
1645844fcf0SLinJiawei  val data = UInt(XLEN.W)
16597cfa7f8SLinJiawei  val redirectValid = Bool()
16697cfa7f8SLinJiawei  val redirect = new Redirect
167b2e6921eSLinJiawei  val brUpdate = new BranchUpdateInfo
168e402d94eSWilliam Wang  val debug = new DebugBundle
1695844fcf0SLinJiawei}
1705844fcf0SLinJiawei
1715844fcf0SLinJiaweiclass ExuIO extends XSBundle {
1725844fcf0SLinJiawei  val in = Flipped(DecoupledIO(new ExuInput))
173c3174e61SZhangZifei  val redirect = Flipped(ValidIO(new Redirect))
1745844fcf0SLinJiawei  val out = DecoupledIO(new ExuOutput)
175bf9968b2SYinan Xu  // for csr
176bf9968b2SYinan Xu  val exception = Flipped(ValidIO(new MicroOp))
177e402d94eSWilliam Wang  // for Lsu
178e402d94eSWilliam Wang  val dmem = new SimpleBusUC
1794e1a70f6SWilliam Wang  val scommit = Input(UInt(3.W))
1805844fcf0SLinJiawei}
1815844fcf0SLinJiawei
1825844fcf0SLinJiaweiclass RoqCommit extends XSBundle {
1835844fcf0SLinJiawei  val uop = new MicroOp
184296e7422SLinJiawei  val isWalk = Bool()
1855844fcf0SLinJiawei}
1865844fcf0SLinJiawei
1875844fcf0SLinJiaweiclass FrontendToBackendIO extends XSBundle {
1885844fcf0SLinJiawei  // to backend end
1895844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
1905844fcf0SLinJiawei  // from backend
191b2e6921eSLinJiawei  val redirect = Flipped(ValidIO(new Redirect))
192b2e6921eSLinJiawei  val outOfOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
193b2e6921eSLinJiawei  val inOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
1941e3fad10SLinJiawei}
195