xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision 3a48285b51b9a11460bdefd33dc0cc1e7cc6ded7)
11e3fad10SLinJiaweipackage xiangshan
21e3fad10SLinJiawei
31e3fad10SLinJiaweiimport chisel3._
45844fcf0SLinJiaweiimport chisel3.util._
5e402d94eSWilliam Wangimport bus.simplebus._
6bfa4b2b4SLinJiaweiimport xiangshan.backend.brq.BrqPtr
70851457fSLinJiaweiimport xiangshan.backend.rename.FreeListPtr
866b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo
9f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter
101e3fad10SLinJiawei
115844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache
121e3fad10SLinJiaweiclass FetchPacket extends XSBundle {
1328958354Szhanglinjuan  val instrs = Vec(PredictWidth, UInt(32.W))
1428958354Szhanglinjuan  val mask = UInt(PredictWidth.W)
1542696a74Szhanglinjuan  // val pc = UInt(VAddrBits.W)
1642696a74Szhanglinjuan  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
1728958354Szhanglinjuan  val pnpc = Vec(PredictWidth, UInt(VAddrBits.W))
18a428082bSLinJiawei  val brInfo = Vec(PredictWidth, new BranchInfo)
19a428082bSLinJiawei  val pd = Vec(PredictWidth, new PreDecodeInfo)
201e3fad10SLinJiawei}
211e3fad10SLinJiawei
22627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
233803411bSzhanglinjuan  val valid = Bool()
2435fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
25627c0a19Szhanglinjuan  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
263803411bSzhanglinjuan}
273803411bSzhanglinjuan
28627c0a19Szhanglinjuanobject ValidUndirectioned {
29627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
30627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
313803411bSzhanglinjuan  }
323803411bSzhanglinjuan}
333803411bSzhanglinjuan
341e7d14a8Szhanglinjuanclass TageMeta extends XSBundle {
3558c523f4SLingrui98  def TageNTables = 6
36627c0a19Szhanglinjuan  val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
371e7d14a8Szhanglinjuan  val altDiffers = Bool()
381e7d14a8Szhanglinjuan  val providerU = UInt(2.W)
391e7d14a8Szhanglinjuan  val providerCtr = UInt(3.W)
40627c0a19Szhanglinjuan  val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
411e7d14a8Szhanglinjuan}
421e7d14a8Szhanglinjuan
4366b0d0c3Szhanglinjuanclass BranchPrediction extends XSBundle {
4466b0d0c3Szhanglinjuan  val redirect = Bool()
45e3aeae54SLingrui98  val taken = Bool()
4666b0d0c3Szhanglinjuan  val jmpIdx = UInt(log2Up(PredictWidth).W)
47e3aeae54SLingrui98  val hasNotTakenBrs = Bool()
4866b0d0c3Szhanglinjuan  val target = UInt(VAddrBits.W)
4966b0d0c3Szhanglinjuan  val saveHalfRVI = Bool()
504a5c1190SGouLingrui  val takenOnBr = Bool()
5166b0d0c3Szhanglinjuan}
5266b0d0c3Szhanglinjuan
53f00290d7SLingrui98class BranchInfo extends XSBundle with HasBPUParameter {
5453bf6077SLingrui98  val ubtbWriteWay = UInt(log2Up(UBtbWays).W)
55e3aeae54SLingrui98  val ubtbHits = Bool()
5653bf6077SLingrui98  val btbWriteWay = UInt(log2Up(BtbWays).W)
57035fad39SGouLingrui  val btbHitJal = Bool()
58e3aeae54SLingrui98  val bimCtr = UInt(2.W)
5966b0d0c3Szhanglinjuan  val histPtr = UInt(log2Up(ExtHistoryLength).W)
60f226232fSzhanglinjuan  val tageMeta = new TageMeta
6166b0d0c3Szhanglinjuan  val rasSp = UInt(log2Up(RasSize).W)
6266b0d0c3Szhanglinjuan  val rasTopCtr = UInt(8.W)
63ed809609Sjinyue110  val rasToqAddr = UInt(VAddrBits.W)
64c5ed092cSLingrui98  val fetchIdx = UInt(log2Up(PredictWidth).W)
657d053a60Szhanglinjuan  val specCnt = UInt(10.W)
664a5c1190SGouLingrui  val sawNotTakenBranch = Bool()
67f226232fSzhanglinjuan
68*3a48285bSGouLingrui  val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
69*3a48285bSGouLingrui  val debug_btb_cycle  = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
70*3a48285bSGouLingrui  val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
71ec776fa0SLingrui98
72f226232fSzhanglinjuan  def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = {
73f226232fSzhanglinjuan    this.histPtr := histPtr
74f226232fSzhanglinjuan    this.tageMeta := tageMeta
75f226232fSzhanglinjuan    this.rasSp := rasSp
7680d2974bSLingrui98    this.rasTopCtr := rasTopCtr
77f226232fSzhanglinjuan    this.asUInt
78f226232fSzhanglinjuan  }
79f226232fSzhanglinjuan  def size = 0.U.asTypeOf(this).getWidth
80f226232fSzhanglinjuan  def fromUInt(x: UInt) = x.asTypeOf(this)
8166b0d0c3Szhanglinjuan}
8266b0d0c3Szhanglinjuan
836fb61704Szhanglinjuanclass Predecode extends XSBundle {
84e9199ec7Szhanglinjuan  val isFetchpcEqualFirstpc = Bool()
852f931f37Szhanglinjuan  val mask = UInt((FetchWidth*2).W)
8666b0d0c3Szhanglinjuan  val pd = Vec(FetchWidth*2, (new PreDecodeInfo))
876fb61704Szhanglinjuan}
886fb61704Szhanglinjuan
89b2e6921eSLinJiaweiclass BranchUpdateInfo extends XSBundle {
90f226232fSzhanglinjuan  // from backend
9169cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
92608ba82cSzhanglinjuan  val pnpc = UInt(VAddrBits.W)
9369cafcc9SLingrui98  val target = UInt(VAddrBits.W)
94b2e6921eSLinJiawei  val brTarget = UInt(VAddrBits.W)
95b2e6921eSLinJiawei  val taken = Bool()
96b2e6921eSLinJiawei  val fetchIdx = UInt(log2Up(FetchWidth*2).W)
97b2e6921eSLinJiawei  val isMisPred = Bool()
98e965d004Szhanglinjuan  val brTag = new BrqPtr
99f226232fSzhanglinjuan
100f226232fSzhanglinjuan  // frontend -> backend -> frontend
101f226232fSzhanglinjuan  val pd = new PreDecodeInfo
102f226232fSzhanglinjuan  val brInfo = new BranchInfo
103b2e6921eSLinJiawei}
104b2e6921eSLinJiawei
1055844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
1065844fcf0SLinJiaweiclass CtrlFlow extends XSBundle {
1075844fcf0SLinJiawei  val instr = UInt(32.W)
1085844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
1095844fcf0SLinJiawei  val exceptionVec = Vec(16, Bool())
1105844fcf0SLinJiawei  val intrVec = Vec(12, Bool())
111b2e6921eSLinJiawei  val brUpdate = new BranchUpdateInfo
112c84054caSLinJiawei  val crossPageIPFFix = Bool()
1135844fcf0SLinJiawei}
1145844fcf0SLinJiawei
1155844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
1165844fcf0SLinJiaweiclass CtrlSignals extends XSBundle {
1179a2e6b8aSLinJiawei  val src1Type, src2Type, src3Type = SrcType()
1189a2e6b8aSLinJiawei  val lsrc1, lsrc2, lsrc3 = UInt(5.W)
1199a2e6b8aSLinJiawei  val ldest = UInt(5.W)
1209a2e6b8aSLinJiawei  val fuType = FuType()
1219a2e6b8aSLinJiawei  val fuOpType = FuOpType()
1229a2e6b8aSLinJiawei  val rfWen = Bool()
1239a2e6b8aSLinJiawei  val fpWen = Bool()
1249a2e6b8aSLinJiawei  val isXSTrap = Bool()
1259a2e6b8aSLinJiawei  val noSpecExec = Bool()  // This inst can not be speculated
1269a2e6b8aSLinJiawei  val isBlocked  = Bool()  // This inst requires pipeline to be blocked
127db34a189SLinJiawei  val isRVF = Bool()
128db34a189SLinJiawei  val imm = UInt(XLEN.W)
129a3edac52SYinan Xu  val commitType = CommitType()
1305844fcf0SLinJiawei}
1315844fcf0SLinJiawei
1325844fcf0SLinJiaweiclass CfCtrl extends XSBundle {
1335844fcf0SLinJiawei  val cf = new CtrlFlow
1345844fcf0SLinJiawei  val ctrl = new CtrlSignals
135bfa4b2b4SLinJiawei  val brTag = new BrqPtr
1365844fcf0SLinJiawei}
1375844fcf0SLinJiawei
138b2e6921eSLinJiaweitrait HasRoqIdx { this: HasXSParameter =>
139b2e6921eSLinJiawei  val roqIdx = UInt(RoqIdxWidth.W)
140054d37b6SLinJiawei
141054d37b6SLinJiawei  def isAfter(thatIdx: UInt): Bool = {
142054d37b6SLinJiawei    Mux(
143054d37b6SLinJiawei      this.roqIdx.head(1) === thatIdx.head(1),
144054d37b6SLinJiawei      this.roqIdx.tail(1) > thatIdx.tail(1),
145054d37b6SLinJiawei      this.roqIdx.tail(1) < thatIdx.tail(1)
146b2e6921eSLinJiawei    )
147b2e6921eSLinJiawei  }
148054d37b6SLinJiawei
149152e2ceaSLinJiawei  def isAfter[ T<: HasRoqIdx ](that: T): Bool = {
150152e2ceaSLinJiawei    isAfter(that.roqIdx)
151152e2ceaSLinJiawei  }
152152e2ceaSLinJiawei
153054d37b6SLinJiawei  def needFlush(redirect: Valid[Redirect]): Bool = {
154054d37b6SLinJiawei    redirect.valid && this.isAfter(redirect.bits.roqIdx)
155054d37b6SLinJiawei  }
156b2e6921eSLinJiawei}
1575844fcf0SLinJiawei
158b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
159b2e6921eSLinJiaweiclass MicroOp extends CfCtrl with HasRoqIdx {
1609a2e6b8aSLinJiawei  val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W)
1619a2e6b8aSLinJiawei  val src1State, src2State, src3State = SrcState()
162c105c2d3SYinan Xu  val lsroqIdx = UInt(LsroqIdxWidth.W)
1635844fcf0SLinJiawei}
1645844fcf0SLinJiawei
165b2e6921eSLinJiaweiclass Redirect extends XSBundle with HasRoqIdx {
16637fcf7fbSLinJiawei  val isException = Bool()
167b2e6921eSLinJiawei  val isMisPred = Bool()
168b2e6921eSLinJiawei  val isReplay = Bool()
169b2e6921eSLinJiawei  val pc = UInt(VAddrBits.W)
170b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
171b2e6921eSLinJiawei  val brTag = new BrqPtr
172a25b1bceSLinJiawei}
173a25b1bceSLinJiawei
1745844fcf0SLinJiaweiclass Dp1ToDp2IO extends XSBundle {
1755c7b21d5SYinan Xu  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
1765c7b21d5SYinan Xu  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
1775c7b21d5SYinan Xu  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
1785844fcf0SLinJiawei}
1795844fcf0SLinJiawei
18060deaca2SLinJiaweiclass ReplayPregReq extends XSBundle {
18160deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
18260deaca2SLinJiawei  val isInt = Bool()
18360deaca2SLinJiawei  val isFp = Bool()
18460deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
1855844fcf0SLinJiawei}
1865844fcf0SLinJiawei
187e402d94eSWilliam Wangclass DebugBundle extends XSBundle{
18872235fa4SWilliam Wang  val isMMIO = Bool()
189e402d94eSWilliam Wang}
1905844fcf0SLinJiawei
1915844fcf0SLinJiaweiclass ExuInput extends XSBundle {
1925844fcf0SLinJiawei  val uop = new MicroOp
1935844fcf0SLinJiawei  val src1, src2, src3 = UInt(XLEN.W)
1945844fcf0SLinJiawei}
1955844fcf0SLinJiawei
1965844fcf0SLinJiaweiclass ExuOutput extends XSBundle {
1975844fcf0SLinJiawei  val uop = new MicroOp
1985844fcf0SLinJiawei  val data = UInt(XLEN.W)
19997cfa7f8SLinJiawei  val redirectValid = Bool()
20097cfa7f8SLinJiawei  val redirect = new Redirect
201b2e6921eSLinJiawei  val brUpdate = new BranchUpdateInfo
202e402d94eSWilliam Wang  val debug = new DebugBundle
2035844fcf0SLinJiawei}
2045844fcf0SLinJiawei
2055844fcf0SLinJiaweiclass ExuIO extends XSBundle {
2065844fcf0SLinJiawei  val in = Flipped(DecoupledIO(new ExuInput))
207c3174e61SZhangZifei  val redirect = Flipped(ValidIO(new Redirect))
2085844fcf0SLinJiawei  val out = DecoupledIO(new ExuOutput)
209bf9968b2SYinan Xu  // for csr
210bf9968b2SYinan Xu  val exception = Flipped(ValidIO(new MicroOp))
211e402d94eSWilliam Wang  // for Lsu
212e402d94eSWilliam Wang  val dmem = new SimpleBusUC
21311915f69SWilliam Wang  val mcommit = Input(UInt(3.W))
2145844fcf0SLinJiawei}
2155844fcf0SLinJiawei
2165844fcf0SLinJiaweiclass RoqCommit extends XSBundle {
2175844fcf0SLinJiawei  val uop = new MicroOp
218296e7422SLinJiawei  val isWalk = Bool()
2195844fcf0SLinJiawei}
2205844fcf0SLinJiawei
221037a131fSWilliam Wangclass TlbFeedback extends XSBundle with HasRoqIdx{
222037a131fSWilliam Wang  val hit = Bool()
223037a131fSWilliam Wang}
224037a131fSWilliam Wang
2255844fcf0SLinJiaweiclass FrontendToBackendIO extends XSBundle {
2265844fcf0SLinJiawei  // to backend end
2275844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
2285844fcf0SLinJiawei  // from backend
229b2e6921eSLinJiawei  val redirect = Flipped(ValidIO(new Redirect))
230b2e6921eSLinJiawei  val outOfOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
231b2e6921eSLinJiawei  val inOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
2321e3fad10SLinJiawei}
233fcff7e94SZhangZifei
234fcff7e94SZhangZifeiclass TlbCsrBundle extends XSBundle {
235fcff7e94SZhangZifei  val satp = new Bundle {
236fcff7e94SZhangZifei    val mode = UInt(4.W) // TODO: may change number to parameter
237fcff7e94SZhangZifei    val asid = UInt(16.W)
238fcff7e94SZhangZifei    val ppn  = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
239fcff7e94SZhangZifei  }
240fcff7e94SZhangZifei  val priv = new Bundle {
241fcff7e94SZhangZifei    val mxr = Bool()
242fcff7e94SZhangZifei    val sum = Bool()
243fcff7e94SZhangZifei    val imode = UInt(2.W)
244fcff7e94SZhangZifei    val dmode = UInt(2.W)
245fcff7e94SZhangZifei  }
2468fc4e859SZhangZifei
2478fc4e859SZhangZifei  override def toPrintable: Printable = {
2488fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
2498fc4e859SZhangZifei    p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
2508fc4e859SZhangZifei  }
251fcff7e94SZhangZifei}
252fcff7e94SZhangZifei
253fcff7e94SZhangZifeiclass SfenceBundle extends XSBundle {
254fcff7e94SZhangZifei  val valid = Bool()
255fcff7e94SZhangZifei  val bits = new Bundle {
256fcff7e94SZhangZifei    val rs1 = Bool()
257fcff7e94SZhangZifei    val rs2 = Bool()
258fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
259fcff7e94SZhangZifei  }
2608fc4e859SZhangZifei
2618fc4e859SZhangZifei  override def toPrintable: Printable = {
2628fc4e859SZhangZifei    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
2638fc4e859SZhangZifei  }
264fcff7e94SZhangZifei}