11e3fad10SLinJiaweipackage xiangshan 21e3fad10SLinJiawei 31e3fad10SLinJiaweiimport chisel3._ 45844fcf0SLinJiaweiimport chisel3.util._ 5e402d94eSWilliam Wangimport bus.simplebus._ 6bfa4b2b4SLinJiaweiimport xiangshan.backend.brq.BrqPtr 70851457fSLinJiaweiimport xiangshan.backend.rename.FreeListPtr 866b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo 91e3fad10SLinJiawei 105844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache 111e3fad10SLinJiaweiclass FetchPacket extends XSBundle { 1228958354Szhanglinjuan val instrs = Vec(PredictWidth, UInt(32.W)) 1328958354Szhanglinjuan val mask = UInt(PredictWidth.W) 1442696a74Szhanglinjuan // val pc = UInt(VAddrBits.W) 1542696a74Szhanglinjuan val pc = Vec(PredictWidth, UInt(VAddrBits.W)) 1628958354Szhanglinjuan val pnpc = Vec(PredictWidth, UInt(VAddrBits.W)) 1728958354Szhanglinjuan val brInfo = Vec(PredictWidth, (new BranchInfo)) 1828958354Szhanglinjuan val pd = Vec(PredictWidth, (new PreDecodeInfo)) 191e3fad10SLinJiawei} 201e3fad10SLinJiawei 21627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle { 223803411bSzhanglinjuan val valid = Bool() 23*35fe60e8SLingrui98 val bits = gen.cloneType.asInstanceOf[T] 24627c0a19Szhanglinjuan override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type] 253803411bSzhanglinjuan} 263803411bSzhanglinjuan 27627c0a19Szhanglinjuanobject ValidUndirectioned { 28627c0a19Szhanglinjuan def apply[T <: Data](gen: T) = { 29627c0a19Szhanglinjuan new ValidUndirectioned[T](gen) 303803411bSzhanglinjuan } 313803411bSzhanglinjuan} 323803411bSzhanglinjuan 331e7d14a8Szhanglinjuanclass TageMeta extends XSBundle { 3458c523f4SLingrui98 def TageNTables = 6 35627c0a19Szhanglinjuan val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W)) 361e7d14a8Szhanglinjuan val altDiffers = Bool() 371e7d14a8Szhanglinjuan val providerU = UInt(2.W) 381e7d14a8Szhanglinjuan val providerCtr = UInt(3.W) 39627c0a19Szhanglinjuan val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W)) 401e7d14a8Szhanglinjuan} 411e7d14a8Szhanglinjuan 4266b0d0c3Szhanglinjuanclass BranchPrediction extends XSBundle { 4366b0d0c3Szhanglinjuan val redirect = Bool() 44e3aeae54SLingrui98 val taken = Bool() 4566b0d0c3Szhanglinjuan val jmpIdx = UInt(log2Up(PredictWidth).W) 46e3aeae54SLingrui98 val hasNotTakenBrs = Bool() 4766b0d0c3Szhanglinjuan val target = UInt(VAddrBits.W) 4866b0d0c3Szhanglinjuan val saveHalfRVI = Bool() 4966b0d0c3Szhanglinjuan} 5066b0d0c3Szhanglinjuan 5166b0d0c3Szhanglinjuanclass BranchInfo extends XSBundle { 5253bf6077SLingrui98 val ubtbWriteWay = UInt(log2Up(UBtbWays).W) 53e3aeae54SLingrui98 val ubtbHits = Bool() 5453bf6077SLingrui98 val btbWriteWay = UInt(log2Up(BtbWays).W) 55e3aeae54SLingrui98 val bimCtr = UInt(2.W) 5666b0d0c3Szhanglinjuan val histPtr = UInt(log2Up(ExtHistoryLength).W) 57f226232fSzhanglinjuan val tageMeta = new TageMeta 5866b0d0c3Szhanglinjuan val rasSp = UInt(log2Up(RasSize).W) 5966b0d0c3Szhanglinjuan val rasTopCtr = UInt(8.W) 60f226232fSzhanglinjuan 61f226232fSzhanglinjuan def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = { 62f226232fSzhanglinjuan this.histPtr := histPtr 63f226232fSzhanglinjuan this.tageMeta := tageMeta 64f226232fSzhanglinjuan this.rasSp := rasSp 6580d2974bSLingrui98 this.rasTopCtr := rasTopCtr 66f226232fSzhanglinjuan this.asUInt 67f226232fSzhanglinjuan } 68f226232fSzhanglinjuan def size = 0.U.asTypeOf(this).getWidth 69f226232fSzhanglinjuan def fromUInt(x: UInt) = x.asTypeOf(this) 7066b0d0c3Szhanglinjuan} 7166b0d0c3Szhanglinjuan 726fb61704Szhanglinjuanclass Predecode extends XSBundle { 732f931f37Szhanglinjuan val mask = UInt((FetchWidth*2).W) 7466b0d0c3Szhanglinjuan val pd = Vec(FetchWidth*2, (new PreDecodeInfo)) 756fb61704Szhanglinjuan} 766fb61704Szhanglinjuan 77b2e6921eSLinJiaweiclass BranchUpdateInfo extends XSBundle { 78f226232fSzhanglinjuan // from backend 7969cafcc9SLingrui98 val pc = UInt(VAddrBits.W) 80608ba82cSzhanglinjuan val pnpc = UInt(VAddrBits.W) 8169cafcc9SLingrui98 val target = UInt(VAddrBits.W) 82b2e6921eSLinJiawei val brTarget = UInt(VAddrBits.W) 83b2e6921eSLinJiawei val taken = Bool() 84b2e6921eSLinJiawei val fetchIdx = UInt(log2Up(FetchWidth*2).W) 85b2e6921eSLinJiawei val isMisPred = Bool() 86f226232fSzhanglinjuan 87f226232fSzhanglinjuan // frontend -> backend -> frontend 88f226232fSzhanglinjuan val pd = new PreDecodeInfo 89f226232fSzhanglinjuan val brInfo = new BranchInfo 90b2e6921eSLinJiawei} 91b2e6921eSLinJiawei 925844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer 935844fcf0SLinJiaweiclass CtrlFlow extends XSBundle { 945844fcf0SLinJiawei val instr = UInt(32.W) 955844fcf0SLinJiawei val pc = UInt(VAddrBits.W) 965844fcf0SLinJiawei val exceptionVec = Vec(16, Bool()) 975844fcf0SLinJiawei val intrVec = Vec(12, Bool()) 98b2e6921eSLinJiawei val brUpdate = new BranchUpdateInfo 99c84054caSLinJiawei val crossPageIPFFix = Bool() 1005844fcf0SLinJiawei} 1015844fcf0SLinJiawei 1025844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage 1035844fcf0SLinJiaweiclass CtrlSignals extends XSBundle { 1049a2e6b8aSLinJiawei val src1Type, src2Type, src3Type = SrcType() 1059a2e6b8aSLinJiawei val lsrc1, lsrc2, lsrc3 = UInt(5.W) 1069a2e6b8aSLinJiawei val ldest = UInt(5.W) 1079a2e6b8aSLinJiawei val fuType = FuType() 1089a2e6b8aSLinJiawei val fuOpType = FuOpType() 1099a2e6b8aSLinJiawei val rfWen = Bool() 1109a2e6b8aSLinJiawei val fpWen = Bool() 1119a2e6b8aSLinJiawei val isXSTrap = Bool() 1129a2e6b8aSLinJiawei val noSpecExec = Bool() // This inst can not be speculated 1139a2e6b8aSLinJiawei val isBlocked = Bool() // This inst requires pipeline to be blocked 114db34a189SLinJiawei val isRVF = Bool() 115db34a189SLinJiawei val imm = UInt(XLEN.W) 1165844fcf0SLinJiawei} 1175844fcf0SLinJiawei 1185844fcf0SLinJiaweiclass CfCtrl extends XSBundle { 1195844fcf0SLinJiawei val cf = new CtrlFlow 1205844fcf0SLinJiawei val ctrl = new CtrlSignals 121bfa4b2b4SLinJiawei val brTag = new BrqPtr 1225844fcf0SLinJiawei} 1235844fcf0SLinJiawei 124b2e6921eSLinJiaweitrait HasRoqIdx { this: HasXSParameter => 125b2e6921eSLinJiawei val roqIdx = UInt(RoqIdxWidth.W) 126691af0f8SLinJiawei def needFlush(redirect: Valid[Redirect]): Bool = { 127b2e6921eSLinJiawei redirect.valid && Mux( 128b2e6921eSLinJiawei this.roqIdx.head(1) === redirect.bits.roqIdx.head(1), 129b2e6921eSLinJiawei this.roqIdx.tail(1) > redirect.bits.roqIdx.tail(1), 130b2e6921eSLinJiawei this.roqIdx.tail(1) < redirect.bits.roqIdx.tail(1) 131b2e6921eSLinJiawei ) 132b2e6921eSLinJiawei } 133b2e6921eSLinJiawei} 1345844fcf0SLinJiawei 135b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage 136b2e6921eSLinJiaweiclass MicroOp extends CfCtrl with HasRoqIdx { 1379a2e6b8aSLinJiawei val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W) 1389a2e6b8aSLinJiawei val src1State, src2State, src3State = SrcState() 1395844fcf0SLinJiawei} 1405844fcf0SLinJiawei 141b2e6921eSLinJiaweiclass Redirect extends XSBundle with HasRoqIdx { 14237fcf7fbSLinJiawei val isException = Bool() 143b2e6921eSLinJiawei val isMisPred = Bool() 144b2e6921eSLinJiawei val isReplay = Bool() 145b2e6921eSLinJiawei val pc = UInt(VAddrBits.W) 146b2e6921eSLinJiawei val target = UInt(VAddrBits.W) 147b2e6921eSLinJiawei val brTag = new BrqPtr 148a25b1bceSLinJiawei} 149a25b1bceSLinJiawei 1505844fcf0SLinJiaweiclass Dp1ToDp2IO extends XSBundle { 1515844fcf0SLinJiawei val intDqToDp2 = Vec(IntDqDeqWidth, DecoupledIO(new MicroOp)) 1525844fcf0SLinJiawei val fpDqToDp2 = Vec(FpDqDeqWidth, DecoupledIO(new MicroOp)) 1535844fcf0SLinJiawei val lsDqToDp2 = Vec(LsDqDeqWidth, DecoupledIO(new MicroOp)) 1545844fcf0SLinJiawei} 1555844fcf0SLinJiawei 156e402d94eSWilliam Wangclass DebugBundle extends XSBundle{ 15772235fa4SWilliam Wang val isMMIO = Bool() 158e402d94eSWilliam Wang} 1595844fcf0SLinJiawei 1605844fcf0SLinJiaweiclass ExuInput extends XSBundle { 1615844fcf0SLinJiawei val uop = new MicroOp 1625844fcf0SLinJiawei val src1, src2, src3 = UInt(XLEN.W) 1635844fcf0SLinJiawei} 1645844fcf0SLinJiawei 1655844fcf0SLinJiaweiclass ExuOutput extends XSBundle { 1665844fcf0SLinJiawei val uop = new MicroOp 1675844fcf0SLinJiawei val data = UInt(XLEN.W) 16897cfa7f8SLinJiawei val redirectValid = Bool() 16997cfa7f8SLinJiawei val redirect = new Redirect 170b2e6921eSLinJiawei val brUpdate = new BranchUpdateInfo 171e402d94eSWilliam Wang val debug = new DebugBundle 1725844fcf0SLinJiawei} 1735844fcf0SLinJiawei 1745844fcf0SLinJiaweiclass ExuIO extends XSBundle { 1755844fcf0SLinJiawei val in = Flipped(DecoupledIO(new ExuInput)) 176c3174e61SZhangZifei val redirect = Flipped(ValidIO(new Redirect)) 1775844fcf0SLinJiawei val out = DecoupledIO(new ExuOutput) 178bf9968b2SYinan Xu // for csr 179bf9968b2SYinan Xu val exception = Flipped(ValidIO(new MicroOp)) 180e402d94eSWilliam Wang // for Lsu 181e402d94eSWilliam Wang val dmem = new SimpleBusUC 1824e1a70f6SWilliam Wang val scommit = Input(UInt(3.W)) 1835844fcf0SLinJiawei} 1845844fcf0SLinJiawei 1855844fcf0SLinJiaweiclass RoqCommit extends XSBundle { 1865844fcf0SLinJiawei val uop = new MicroOp 187296e7422SLinJiawei val isWalk = Bool() 1885844fcf0SLinJiawei} 1895844fcf0SLinJiawei 1905844fcf0SLinJiaweiclass FrontendToBackendIO extends XSBundle { 1915844fcf0SLinJiawei // to backend end 1925844fcf0SLinJiawei val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 1935844fcf0SLinJiawei // from backend 194b2e6921eSLinJiawei val redirect = Flipped(ValidIO(new Redirect)) 195b2e6921eSLinJiawei val outOfOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo)) 196b2e6921eSLinJiawei val inOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo)) 1971e3fad10SLinJiawei} 198